Timing Verification (timing Analysis) Patents (Class 716/108)
  • Patent number: 8555234
    Abstract: An efficient method for selecting a minimal and statistically relevant set of SER sensitive logic devices critical to the SER robustness for a design, through identification by device type, identification nomenclature, connectivity and context. The minimal set of devices comprise the set of fault injection test points using a conventional fault injection test verification environment to establish an SER induced failure rate a logic design. The selection method affords a design independent means to evaluate any design regardless of the origin, source language or documentation by working at the common logic device level “gate-level” netlist format for the design data. The selected set of devices is distilled from the design data by successively filtering the design through a series of heuristic rule-based device identifier computer programs that group and annotate the devices into specific database records.
    Type: Grant
    Filed: September 3, 2009
    Date of Patent: October 8, 2013
    Assignee: International Business Machines Corporation
    Inventors: Robert Brett Tremaine, Mark Anthony Check, Pia N Sanda, Prabhakar Nandavar Kudva
  • Patent number: 8549450
    Abstract: Methods and software for determining one or more boundary conditions for nets in a signal path are disclosed. The method generally includes determining an expected characteristic for at least one net in the signal path and determining a boundary characteristic for that net. Determining a boundary characteristic for the net may include multiplying the expected characteristic by a scaling factor to produce a scaled characteristic for the net, performing timing analysis of the signal path in accordance with the scaled characteristic (e.g., by calculating timing while assuming that the net has the scaled characteristic), determining if the signal path violates a timing constraint when the net has the scaled characteristic, and repeating the determination with a new scaled characteristic if timing is violated. Advantageously, maximum and/or minimum values may be determined for characteristics of signal path nets that still satisfy timing constraints.
    Type: Grant
    Filed: May 31, 2011
    Date of Patent: October 1, 2013
    Assignee: Golden Gate Technology, Inc.
    Inventor: Michael Burstein
  • Publication number: 20130254728
    Abstract: A computer-readable recording medium stores a design support program that causes a computer to execute a process that includes generating based on a control flow graph conversion result for operation description information concerning a circuit-under-design, a first synthesis result according to which a time length of 1 clock cycle of the circuit-under-design is greater than or equal to a clock period in which the circuit-under-design operates; calculating based on the generated first synthesis result, first circuit scale information indicating a circuit scale of the circuit-under-design; acquiring a second synthesis result that is for the circuit-under-design and conforms to a timing constraint that is based on the control flow graph conversion result; calculating second circuit scale information indicating the circuit scale of the circuit-under-design, based on the generated second synthesis result; and outputting the calculated first circuit scale information and the calculated second circuit information.
    Type: Application
    Filed: January 4, 2013
    Publication date: September 26, 2013
    Applicant: FUJITSU LIMITED
    Inventor: Kazuhiko HATAE
  • Patent number: 8543966
    Abstract: A method of test path selection and test program generation for performance testing integrated circuits. The method includes identifying clock domains having multiple data paths of an integrated circuit design having multiple clock domains; selecting, from the data paths, critical paths for each clock domain of the multiple clock domains; using a computer, for each clock domain of the multiple clock domain, selecting the sensitizable paths of the critical paths; for each clock domain of the multiple clock domain, selecting test paths from the sensitizable critical paths; and using a computer, creating a test program to performance test the test paths.
    Type: Grant
    Filed: November 11, 2011
    Date of Patent: September 24, 2013
    Assignee: International Business Machines Corporation
    Inventors: Jeanne P. Bickford, Peter A. Habitz, Vikram Iyengar, David E. Lackey, Jinjun Xiong
  • Patent number: 8543951
    Abstract: A method of designing a model of an integrated circuit block, an electronic design automation tool and a non-transitory computer-readable medium are disclosed herein. In one embodiment, the method includes: (1) generating an input and output timing budget for the block based on design constraints of the block and a netlist of the block, (2) updating the input and output timing budget with clock customization data based on designer knowledge of the integrated circuit and (3) providing the model for the block based on the update of the input and output timing budget, wherein the model represents clock information of the block separately from data path information of the block.
    Type: Grant
    Filed: October 11, 2012
    Date of Patent: September 24, 2013
    Assignee: LSI Corporation
    Inventors: Vishwas M. Rao, Joseph J. Jamann, James C. Parker
  • Patent number: 8543954
    Abstract: Systems, apparatus, and methods of static timing analysis for an integrated circuit design in the presence of noise are disclosed. The integrated circuit design undergoing analysis may be partitioned into a plurality of subcircuit stages. Each subcircuit stage in the integrated circuit design may be modeled to include a model of at least one victim driver, at least one aggressor driver, at least one receiver, and an interconnect network. Associated with each subcircuit stage is a set of related edges of a design graph to compute signal propagation delay. For each subcircuit stage, full timing delays of each edge can be concurrently computed. This includes concurrently computing base timing delays for a nominal response to the at least one victim driver and the interconnect network and noise related timing delays in response to the at least one aggressor driver and the interconnect network.
    Type: Grant
    Filed: September 2, 2008
    Date of Patent: September 24, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Igor Keller, Vinod Kariat, King Ho Tam
  • Publication number: 20130246989
    Abstract: A system and methods for metastability verification of an integrated circuit design are provided. An IC design is received and the source-to-destination paths of the IC design are determined. For each of the determined source-to-destination paths, it is determined whether the corresponding source is synchronized. For each source its respective synchronized or unsynchronized result is stored and a report is generated for each source describing whether it is synchronized or unsynchronized. The system may be at least a portion of a computer aided design (CAD) system.
    Type: Application
    Filed: May 6, 2013
    Publication date: September 19, 2013
    Applicant: Atrenta, Inc
    Inventors: Maher MNEIMNEH, Shaker SARWARY, Paras Mal JAIN, Ashish BANSAL, Mohammad MOVAHED-EZAZI, Namit GUPTA
  • Publication number: 20130241597
    Abstract: An integrated circuit includes a clock-tree with a plurality of clock buffers, a plurality of clocked storage elements, and a plurality of logic circuits. Each clocked storage element has a clock input terminal connected to one of the plurality of clock buffers and a weight. Each of the logic circuits is associated with two of the plurality of clocked storage elements and is characterized as having a logic depth. The weight of each clocked storage element is equal to a sum of an inverse of a logic depth of each of the plurality of logic circuits associated therewith. A first clocked storage element which has a highest weight and is adjacent to and interacts with a second clocked storage element via one of the plurality of logic circuits. A first clock buffer provides a common clock signal to the first and second clocked storage elements.
    Type: Application
    Filed: March 19, 2012
    Publication date: September 19, 2013
    Applicant: ADVANCED MICRO DEVICES, INC.
    Inventors: Arun Sundaresan Iyer, Nithin Shetty Kidiyoor, Shyam Sundaramoorthy, Ravishankar Karthikeyan
  • Patent number: 8539413
    Abstract: A circuit analysis tool is provided for optimizing circuit clock operating frequency using useful skew timing analysis. The instructions supply clock signal with an optimized operating frequency. A first gate signal input slack time is determined with respect to the clock signal to the first gate. If the first gate signal input has a negative slack time, a delay is added to the first clock signal. A second gate signal input slack time is determined with respect to the clock signal to the second gate. If the second gate signal input slack time is negative, a delay is added to the second clock signal necessary to create a second gate signal input positive slack time. In response to the first and second gate signal input positive slack times, it is determined that the circuit successfully operates at the clock optimized operating frequency.
    Type: Grant
    Filed: April 27, 2010
    Date of Patent: September 17, 2013
    Assignee: Applied Micro Circuits Corporation
    Inventors: Sunil Kumar Singla, Balaji Prabhakar
  • Patent number: 8539402
    Abstract: In one embodiment of the invention, a method includes partitioning an integrated circuit design into a hierarchy of a top level and a plurality of partitions, wherein the top level includes a top level netlist and each partition includes a partition netlist; receiving data path timing budgets and clock path timing budgets for each of the plurality of partitions of the integrated circuit design; and generating a timing budget model of each partition in response to the respective data path timing budgets and clock path timing budgets, wherein each timing budget model includes an intra-partition clock timing constraint for each respective partition for independent implementation of the top level.
    Type: Grant
    Filed: December 15, 2012
    Date of Patent: September 17, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Vivek Bhardwaj, Oleg Levitsky, Dinesh Gupta
  • Patent number: 8539414
    Abstract: An electronic design automation (EDA) tool alters a user's netlist to provide timing success for distribution of asynchronous signals. Distribution networks are used with the addition of pipeline registers before and/or after the distribution buffer. Or, a tree of pipeline registers is inserted between the asynchronous source and the destination registers. Or, any number of distribution networks are stitched together and pipeline stages may be inserted before and/or after each distribution buffer. Or, beneficial skew is utilized by introducing a delay component that skews a clock signal. The skewed clock signal drives a pipeline register that is inserted before a distribution buffer in order to improve timing margin. Any of various compilation techniques may be used within the EDA tool to solve the problem of distributing high-speed, high-fanout asynchronous signals.
    Type: Grant
    Filed: January 4, 2010
    Date of Patent: September 17, 2013
    Assignee: Altera Corporation
    Inventors: Mark Bourgeault, Ryan Fung, David Lewis
  • Patent number: 8539407
    Abstract: A system generally including a clock structure analysis tool, a static timing analysis tool and a waveform tool is disclosed. The clock structure analysis tool may be configured to generate a simplified clock structure for a clock signal in a complex clock structure in a design of a circuit. The static timing analysis tool may be configured to generate a plurality of results for a plurality of intermediate signals in the simplified clock structure in response to a static timing analysis of the design. The waveform tool may be configured to generate a first representation in a graphical user interface format of the intermediate signals and the results.
    Type: Grant
    Filed: February 19, 2009
    Date of Patent: September 17, 2013
    Assignee: LSI Corporation
    Inventors: Juergen Dirks, Martin Fennell, Matthias Dinter
  • Patent number: 8538715
    Abstract: A method of integrated circuit (IC) disposition includes the steps of determining one or more disposition criteria based at least in part on statistical timing of a given IC design; and determining whether a given IC according to the given IC design satisfies the one or more disposition criteria based at least in part on one or more measurements of at least one test structure.
    Type: Grant
    Filed: July 8, 2010
    Date of Patent: September 17, 2013
    Assignee: International Business Machines Corporation
    Inventors: Peter Anton Habitz, Jinjun Xiong, Vladimir Zolotov
  • Patent number: 8533541
    Abstract: A computer-readable, non-transitory medium stores a program that causes a computer to execute detecting in a circuit-under-test, a change in a signal output from each circuit element on a transmission-side, during one clock cycle on a reception-side at an asynchronous location; inputting to each circuit element on the reception-side, a signal for which a change is not detected at a detection time among detection times when a signal change is detected at the detecting and replacing with a random logic value, a signal for which a change has been detected at a detection time among the detection times and inputting the random logic value to each circuit element on the reception-side, in an action triggered by a rising edge of an operation clock on the reception-side after the one clock cycle; and outputting for each circuit element on the reception-side, an operation result obtained based on input at the inputting.
    Type: Grant
    Filed: April 25, 2011
    Date of Patent: September 10, 2013
    Assignee: Fujitsu Limited
    Inventor: Hiroaki Iwashita
  • Patent number: 8533649
    Abstract: A method for reducing leakage power of an IC during the design of the IC. A cell based IC design is received that includes a plurality of signal paths with positive slack. The positive slack is converted to negative slack by replacing cell instances in the IC design with footprint equivalent variants of the cell instances. The negative slack is converted back to positive slack via an iterative path-based analysis of the IC design. In each iteration, a path is selected that has negative slack and replacement values are computed for cell instances in the path. One or more cell instances in the path are then replaced with variants based on the replacement values.
    Type: Grant
    Filed: August 28, 2012
    Date of Patent: September 10, 2013
    Assignee: Synopsys, Inc.
    Inventor: Sridhar Tirumala
  • Patent number: 8533654
    Abstract: An iterative method may comprise obtaining a current input signal value for a current iteration, comparing the current input signal value with an output signal value determined in a previous iteration, updating a counter value determined in the previous iteration based on the comparison such that the updated counter value replaces the previously determined counter value, determining a slew value based on the counter value, and adding the slew value to the previously determined output signal value to generate a new current output signal value. Different slew values may be added to the previous output signal to obtain a new output signal. The counter value is updated to reflect recent trends in the input signals. For example, if the input signal is on an upward trend, the counter value may be relatively high because it is incremented each time an input signal exceeds a previously determined output signal.
    Type: Grant
    Filed: November 7, 2011
    Date of Patent: September 10, 2013
    Assignee: Atmel Corporation
    Inventors: Harald Philipp, Esat Yilmaz
  • Patent number: 8533644
    Abstract: In one embodiment of the invention, a multi-CCC current source model is disclosed to perform statistical timing analysis of an integrated circuit design. The multi-CCC current source model includes a voltage waveform transfer function, a voltage dependent current source, and an output capacitor. The voltage waveform transfer function receives an input voltage waveform and transforms it into an intermediate voltage waveform. The voltage dependent current source generates an output current in response to the intermediate voltage waveform. The output capacitor is coupled in parallel to the voltage dependent current source to generate an output voltage waveform for computation of a timing delay.
    Type: Grant
    Filed: December 12, 2010
    Date of Patent: September 10, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Vinod Kariat, Igor Keller, Joel R. Phillips, King Ho Tam
  • Patent number: 8527925
    Abstract: The present invention relates to a method and an apparatus for estimating a clock skew. The method comprises: obtaining a basic clock skew of each clock tree in the circuit; judging whether two units are in a same clock domain; if they are in different clock domains, estimating the clock skew between units to be a larger one of basic clock skews of the clock trees corresponding to these two unit; if these two units are in the same clock domain, further judging whether they are in a same hierarchical logic block; if they are in different hierarchical logic blocks, estimating the clock skew between units to be the basic clock skew of the clock tree of these two units plus additional clock skew caused by different hierarchical logic blocks. The apparatus is corresponding to the method. With the method and apparatus, the clock skew in the circuit can be estimated more accurately, which improves the efficiency of circuit design.
    Type: Grant
    Filed: May 4, 2012
    Date of Patent: September 3, 2013
    Assignee: International Business Machines Corporation
    Inventors: Hong Wei Dai, Gong Qiong Li, Jia Niu, Jun Tan
  • Publication number: 20130227507
    Abstract: A method for recursive hierarchical static timing analysis. The method includes accessing a lower-level netlist representing a lower-level block of a circuit design to be realized in physical form, and accessing constraints for the lower-level block. Static timing analysis is performed on the lower-level block. The method includes accessing an upper-level netlist representing an upper-level block of the circuit design to be realized in physical form, and accessing constraints for the upper-level block. Static timing analysis is performed on the upper-level block while incorporating results from the static timing analysis on the lower-level block.
    Type: Application
    Filed: April 8, 2013
    Publication date: August 29, 2013
    Applicant: Synopsys, Inc.
    Inventor: Synopsys, Inc.
  • Publication number: 20130227506
    Abstract: The timing verification method for deterministic and stochastic networks and circuits is a computerized method that includes a non-enumerative path length analysis algorithm for deterministic and stochastic directed acyclic graphs (DAGs) with applications to timing verification of circuits, the algorithm computing statistical measures of path lengths without storing and/or manipulating the paths in such networks. The timing verification method is able to compute deterministic or probabilistic costs assigned to edges, vertices, or both.
    Type: Application
    Filed: February 29, 2012
    Publication date: August 29, 2013
    Applicant: UMM AL-QURA UNIVERSITY
    Inventor: FATIH KOCAN
  • Patent number: 8522178
    Abstract: A system and method for analyzing the timing requirements of a memory array are disclosed. The memory cell circuitry used in the original memory array may utilize two bi-directional passgate transistors which are both used during read and write operations on the memory cell, e.g., where signals can flow across the passgate transistors in two directions. A model of the memory array may be created according to a memory cell model that uses uni-directional passgate transistors. Modeling the memory array with uni-directional circuitry may enable a static timing analysis tool to determine the critical path through the memory array. Once the critical path has been determined from the model of the memory array, a dynamic simulation of the critical path in the original memory array may be performed to accurately determine the timing requirements of the original memory array.
    Type: Grant
    Filed: September 7, 2011
    Date of Patent: August 27, 2013
    Assignee: Apple Inc.
    Inventors: Raghuraman Ganesan, Matthew J. T. Page
  • Patent number: 8522175
    Abstract: A semiconductor circuit design supporting method includes: reading Register Transfer Level (RTL) description circuit data; generating an equivalent circuit corresponding to the RTL description circuit data; extracting a plurality of arithmetic components included in the generated equivalent circuit; clustering some of the extracted arithmetic components as a single arithmetic component, wherein no storage element exists between said some of the extracted arithmetic components; reading a timing constraint on the RTL description circuit data; tracing an exception path of the RTL description circuit data when the timing constraint includes a timing exception; determining whether or not the timing exception is set for input pins of said some of the arithmetic components which are clustered as the single arithmetic component, based on the traced exception path of the RTL description circuit data; and separating a arithmetic component for which the timing exception is set, from said some of the arithmetic component
    Type: Grant
    Filed: January 14, 2013
    Date of Patent: August 27, 2013
    Assignee: Casio Computer Co., Ltd.
    Inventor: Masateru Nishimoto
  • Patent number: 8522197
    Abstract: A design tool hierarchically presents information about a design with nested blocks. For example, the design tool presents scheduling information for the design in a hierarchical Gantt chart. The scheduling information includes hierarchical design schedule blocks which accurately depict the timing and scheduling of the nested blocks of the design. Each of the hierarchical design schedule blocks includes control steps numbered relative to the block. The scheduling information also includes a hierarchical list of scheduled operations for the design. The hierarchical list emphasizes which operations are associated with which nested blocks. The scheduling information further includes pseudo-operation icons that are easily differentiated from real operation icons in the hierarchical Gantt chart.
    Type: Grant
    Filed: November 30, 2010
    Date of Patent: August 27, 2013
    Assignee: Mentor Graphics Corporation
    Inventors: Peter Pius Gutberlet, Simon Joshua Waters, Bryan Darrell Bowyer
  • Patent number: 8522190
    Abstract: A clock gating mechanism controls power within an integrated circuit device. One or more clock gating circuits are configured to couple a system clock to a different portion of the integrated circuit device. A logic circuit applies an enabling signal to one of the clock gating circuits to control whether the system clock passes through the clock gating circuit to a portion of the integrated circuit device associated with the clock gating circuit. A plurality of scan flip-flops is configured to provide a binary code to the logic circuit, where the binary code indicates to the logic circuit that the enabling signal should be applied to the clock gating circuit. One advantage of the disclosed technique is that power droop during at-speed testing of a device is reduced without significantly increasing the quantity of test vectors or reducing test coverage, resulting in greater test yields and lower test times.
    Type: Grant
    Filed: April 11, 2012
    Date of Patent: August 27, 2013
    Assignee: Nvidia Corporation
    Inventors: Amit Sanghani, Bo Yang
  • Patent number: 8522188
    Abstract: In a method of designing a system-on-chip including a tapless standard cell to which body biasing is applied, a slow corner timing parameter is adjusted to increase a slow corner of an operating speed distribution for the system-on-chip by reflecting forward body biasing, and a fast corner timing parameter is adjusted to decrease a fast corner of the operating speed distribution for the system-on-chip by reflecting reverse body biasing. The system-on-chip including the tapless standard cell is implemented based on the adjusted slow corner timing parameter corresponding to the increased slow corner and the adjusted fast corner timing parameter corresponding to the decreased fast corner. The slow corner timing parameter corresponds to a lowest value of an operating speed design window of the system-on-chip, and, the fast corner timing parameter corresponds to a highest value of the operating speed design window of the system-on-chip.
    Type: Grant
    Filed: September 25, 2012
    Date of Patent: August 27, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyung-Ock Kim, Jae-Han Jeon, Jung-Yun Choi, Kee-Sup Kim, Hyo-Sig Won
  • Patent number: 8522179
    Abstract: A system for, and method of, generating block timing constraints and a timing model. In one embodiment, the system includes a hierarchical modeling tool configured to: (1) generate a model file, (2) receive at least one abstracted view margin, at least one timing environment margin and at least one operational margin for inclusion in the model file, (3) generate block implementation timing constraints employing the at least one timing environment margin and the at least one operational margin and (4) generate a block timing model employing the at least one abstracted view margin and the at least one operational margin.
    Type: Grant
    Filed: February 6, 2012
    Date of Patent: August 27, 2013
    Assignee: LSI Corporation
    Inventors: William R. Griesbach, Vishwas Rao, Joseph J. Jamann
  • Publication number: 20130219352
    Abstract: Buffers on a clock tree are reduced, as long as there is enough set-up margin, in order to reduce power consumption in the clock tree. An FF group coupled to a partial tree, which is a part of the clock tree and expanded from the branch point being focused on, is defined as the target FF and the other FFs are defined as non-target FFs. The target buffer of an elimination candidate and the target and non-target FFs are defined so as not to change the slack in principle in a signal propagation path between the non-target FFs even if the buffer is eliminated. The buffer which can be eliminated is specified within a range in each signal propagation path which has a start point at the non-target FF and an end point at the target FF and in each signal propagation path between the target FFs.
    Type: Application
    Filed: February 19, 2013
    Publication date: August 22, 2013
    Applicant: RENESAS ELECTRONICS CORPORATION
    Inventor: RENESAS ELECTRONICS CORPORATION
  • Patent number: 8516424
    Abstract: A system for, and method of, performing static timing analysis. In one embodiment, the system includes: (1) a CVS tool configured to determine a cell-based voltage supply corresponding to each of a plurality of cells in an integrated circuit design and (2) an STA tool configured to derate the each of the cells based on the corresponding cell-based voltage supply.
    Type: Grant
    Filed: September 27, 2011
    Date of Patent: August 20, 2013
    Assignee: LSI Corporation
    Inventors: Alexander Tetelbaum, Hyuk-Jong Yi
  • Patent number: 8516421
    Abstract: A property generation tool that automatically generates a property for a circuit design from a signal trace of the circuit design. The property generation tool receives a trace of a circuit design. The trace includes signal values for a number of signals of the circuit design over a number of clock cycles. Signal signatures are generated from one or more characteristics of the signal values. Sets of candidate signals are identified from the circuit design signals based on the signal signatures. One or more properties of the circuit design are generated based on the signal values associated with the sets of candidate signals. The property can be output, for example, for display to a user of the property generation tool. Examples of properties that are generated by the property generation tool include handshaking properties and fairness properties.
    Type: Grant
    Filed: January 10, 2012
    Date of Patent: August 20, 2013
    Assignee: Jasper Design Automation, Inc.
    Inventor: Asa Ben-Tzur
  • Patent number: 8516408
    Abstract: Techniques for forming a first electronic circuit including a plurality of instances of a repeatable circuit element include the steps of: obtaining a total number of instances of the repeatable circuit element in a design of an IC including the first electronic circuit and at least a second electronic circuit; and configuring at least one functional parameter of the first electronic circuit as a function of the total number of instances of the repeatable circuit element in the IC to thereby satisfy a prescribed minimum composite manufacturing yield of the IC and/or at least one specification of the IC under prescribed operating conditions.
    Type: Grant
    Filed: May 26, 2009
    Date of Patent: August 20, 2013
    Assignee: LSI Corporation
    Inventors: Richard Bruce Dell, Ross A. Kohler, Richard J. McPartland, Wayne E. Werner
  • Patent number: 8516420
    Abstract: In one embodiment of the invention, a multi-CCC current source model is disclosed to perform statistical timing analysis of an integrated circuit design. The multi-CCC current source model includes a voltage waveform transfer function, a voltage dependent current source, and an output capacitor. The voltage waveform transfer function receives an input voltage waveform and transforms it into an intermediate voltage waveform. The voltage dependent current source generates an output current in response to the intermediate voltage waveform. The output capacitor is coupled in parallel to the voltage dependent current source to generate an output voltage waveform for computation of a timing delay.
    Type: Grant
    Filed: August 31, 2007
    Date of Patent: August 20, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Vinod Kariat, Igor Keller, Joel R. Phillips, King Ho Tam
  • Patent number: 8516430
    Abstract: There is provided a test apparatus for testing a device under test, including a plurality of operational units that operate in response to control data supplied thereto to test the device under test, a control section that generates packet data containing the control data and unit selection data indicating which one or more of the plurality of operational units are to be selected, and a plurality of data transfer units that are cascade-connected to each other so that the packet data is transferred from each of the plurality of data transfer units to a data transfer unit of a following stage, where each of the plurality of data transfer units corresponds to one or more of the plurality of operational units.
    Type: Grant
    Filed: January 13, 2010
    Date of Patent: August 20, 2013
    Assignee: Advantest Corporation
    Inventor: Noriyoshi Kozuka
  • Patent number: 8510697
    Abstract: The invention generally relates to systems and methods for modeling I/O simultaneous switching noise, and, more particularly, to systems and methods for modeling I/O simultaneous switching noise in a selected chip window area while accounting for the effect of current sharing among neighbors. A method includes determining a current sharing factor of areas of an integrated circuit (IC) chip package, and determining an offload scaling factor of the IC chip package based upon the current sharing factor and numbers of I/O devices in neighboring areas of the IC chip package.
    Type: Grant
    Filed: April 17, 2012
    Date of Patent: August 13, 2013
    Assignee: International Business Machines Corporation
    Inventors: Erik Breiland, Charles S. Chiu, Prince George
  • Patent number: 8510696
    Abstract: Solutions for ordering of statistical correlated quantities are disclosed. In one aspect, a method includes timing a plurality of paths in an integrated circuit to determine a set of timing quantities associated with each of the plurality of paths; determining a most critical timing quantity in the set of timing quantities; forming a tiered timing quantity arrangement for ordering a plurality of timing quantities in the set of timing quantities; removing the most critical timing quantity from the set of timing quantities and placing the most critical timing quantity in an uppermost available tier of the tiered timing quantity arrangement; and repeating the determining, forming and removing for the set of timing quantities excluding the removed most critical timing quantity.
    Type: Grant
    Filed: March 16, 2012
    Date of Patent: August 13, 2013
    Assignee: International Business Machines Corporation
    Inventors: Chandramouli Visweswariah, Jinjun Xiong, Vladimir P. Zolotov
  • Patent number: 8504956
    Abstract: Accurate circuit and system timing analysis is a critical tool for designing and analyzing complex modern semiconductor chips. While the accuracy and detail of dynamic electrical simulation may be desirable in theory, such analysis is not feasible due to extreme computational complexity and open-ended simulation times. Improved circuit modeling and timing analysis tools that can provide both accuracy and computational efficiency are required. Table look-up (TLU) and other techniques provide computationally efficient timing analysis but may be undertaken at the expense of simulation accuracy. Instead, the use of current waveform moments representing the frequency domain equivalents of signals can provide the required simulation accuracy and computational efficiency.
    Type: Grant
    Filed: April 30, 2012
    Date of Patent: August 6, 2013
    Assignee: Synopsys, Inc.
    Inventor: Ahmed Mamdouh Shebaita
  • Patent number: 8504976
    Abstract: In an example embodiment, the system obtains the mutual inductance (e.g., Mij) between a quiet I/O buffer and each switching I/O buffer on a PLD from an automatic SSN measurement system. The system calculates the corrected mutual inductance between the quiet I/O buffer and each switching I/O buffer by multiplying the mutual inductance by a correction factor (e.g., ?j). The system multiplies each corrected mutual inductance by the rate of current flowing through the switching I/O buffer to obtain an induced voltage resulting from the switching I/O buffer. The system sums the induced voltages for all the switching I/O buffers on the PLD to obtain an estimate of total induced voltage caused in the quiet I/O buffer by all switching I/O buffers. The correction factor is based on bench measurements and depends on the amplitude of the simultaneous switching noise affecting each switching I/O buffer.
    Type: Grant
    Filed: May 30, 2012
    Date of Patent: August 6, 2013
    Assignee: Altera Corporation
    Inventors: Zhuyuan Liu, Geping Liu, San Wong
  • Patent number: 8504970
    Abstract: A method for generating a design for a system to be implemented on a target device includes compiling the design. Information used to make a compilation decision on the design is stored. A strategy to improve timing closure on a signal path on the design is derived using the information.
    Type: Grant
    Filed: July 5, 2011
    Date of Patent: August 6, 2013
    Assignee: Altera Corporation
    Inventors: Shawn Malhotra, Mark Ari Teper, Steven Caranci, Ketan Padalia, Mark Bourgeault
  • Patent number: 8504332
    Abstract: A non-transitory computer-readable recording medium stores therein a program that causes a processor to execute inputting a driving capability value, a lumped-constant capacitance value, and an input capacitance value included in the lumped-constant capacitance value, respectively defined in a circuit model, and further inputting a first delay time of the circuit model, based on the driving capability value and the lumped-constant capacitance value; setting in the circuit model, the driving capability value, the lumped-constant capacitance value, and the input capacitance value; acquiring a second delay time of the circuit model, by providing to a simulator, the circuit model having values set therein; calculating a relative evaluation value for the first delay time and the second delay time; and storing to a storage apparatus and as a delay time correcting coefficient, the relative evaluation value correlated with the driving capability value, the lumped-constant capacitance value, and the input capacitance
    Type: Grant
    Filed: June 3, 2010
    Date of Patent: August 6, 2013
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Mitsuru Onodera
  • Patent number: 8504955
    Abstract: A timing adjustment device includes a plurality of receive circuits that receive an input signal based on mutually different timings, a determination circuit that determines a first transition and a second transition of the input signal based on a received result by receive circuits, among the plurality of receive circuits, that receive the input signal with adjacent timings among different timings of the plurality of receive circuits, and an adjustment circuit that adjusts the receiving timing of the input signal so that the receiving timing of the input signal becomes close to a central timing of a period according to the first transition and the second transition.
    Type: Grant
    Filed: May 19, 2010
    Date of Patent: August 6, 2013
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Shigeki Kawai
  • Patent number: 8504960
    Abstract: The invention provides a method and system for performing Static Timing Analysis on SoC (System on a Chip) designs. The invention solves a longstanding problem with timing analysis of designs, namely, the ability to multi-thread the design under analysis. The invention provides for slicing a design into levels, further decomposing each level into gates, and the multi-threaded processing of gates so that the solution of large design analysis is generated significantly faster than current approaches. Further, the invention provides that only one level exists in the RAM at any time. Once the arrival time on the level is computed, the data is saved to disk immediately. Because the memory footprint is sub-linear to the size of the design, entire system-on-a chip designs may be nm on inexpensive, off-the-shelf hardware.
    Type: Grant
    Filed: May 16, 2008
    Date of Patent: August 6, 2013
    Assignee: Synopsys, Inc.
    Inventors: Guy Maor, Chih-Wei Jim Chang, Yuji Kukimoto, Haobin Li
  • Patent number: 8504978
    Abstract: In one embodiment of the invention, a method includes reading an automatically generated timing budgeting file, including timing budget information for a plurality of partitions of an integrated circuit design; graphically displaying a time budgeting debug window on a display device; and graphically displaying a timing budget analyzer window on the display device in response to selection of a selected signal path in a path list window pane. The timing budget analyzer window graphically displays timing budgets and timing delays of a selected path for visual comparison. The time budgeting debug window includes a button with a path category menu to display one or more signal paths meeting a selected path category, and a path list window pane to display a list of one or more signal paths through one or more ports of the plurality of partitions in response to the selected path category in the path category menu.
    Type: Grant
    Filed: May 7, 2009
    Date of Patent: August 6, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Vivek Bhardwaj, Didier Seropian, Oleg Levitsky
  • Patent number: 8499266
    Abstract: Techniques for performing race logic synthesis on an integrated circuit (IC) are described herein. According to one aspect of the invention, HDL (hardware description language) and/or ESL (electronic system level) design source files of an IC design are compiled into a common design database. Race logic analysis is performed on the IC design, either by a third-party tool or by the same EDA (electronic design automation) tool that also performing race logic synthesis, if the latter has built-in race logic audit functions. Based on the race logic audit results, race logic synthesis is performed on the common design database, and getting rid of all identified race logic in the IC design. This renders the EDA tool can perform concurrent analysis of the IC design, via the race-free common design database, using multi-CPU/core computers and the results will be the same as if the EDA tool had performed serial analysis of the IC design using a single-CPU/core computer.
    Type: Grant
    Filed: June 23, 2011
    Date of Patent: July 30, 2013
    Inventor: Terence Wai-Kwok Chan
  • Patent number: 8499267
    Abstract: A delay library generation apparatus, associated control method, and associated program are provided. The delay library generation apparatus comprises a storage device which stores architecture information of a logic element array, layout data of an overall programmable logic device, a netlist of the overall programmable logic device, and a wiring route extraction unit which refers to the storage device and extracts wiring route information regarding a wiring route section based on the architecture information. Moreover, the delay library generation apparatus comprises an analyzing unit which analyzes the layout data of the logic device and extracts parameters of a parasitic element and a crosstalk between adjacent interconnections. The delay generation apparatus further comprises a delay calculation unit which calculates delay data based on the extracted parameters and a delay library generation unit which generates a delay library of the logic device based on the wiring route information and the delay data.
    Type: Grant
    Filed: February 26, 2010
    Date of Patent: July 30, 2013
    Assignee: Nec Corporation
    Inventors: Toru Awashima, Yoshitaka Izawa
  • Patent number: 8499265
    Abstract: A circuit for preventing a setup fail between a first latch and a second latch according to one embodiment of the present invention comprises a mimic combinational logic module and a clock compare module. The mimic combinational logic module is configured to receive a first clock signal for the first latch and to generate a delayed first clock signal, which is a delayed version of the first clock signal. The clock compare module is configured to provide a delayed second clock signal, which is a delayed version of a second clock signal for the second latch, to the second latch after receiving the delayed first clock signal and the second clock signal.
    Type: Grant
    Filed: February 14, 2011
    Date of Patent: July 30, 2013
    Assignee: Nanya Technology Corporation
    Inventor: Stephen Potvin
  • Patent number: 8495532
    Abstract: A method includes approximating a physical characteristic of a semiconductor substrate with a frequency-dependent circuit, and creating a technology file for the semiconductor substrate based on the frequency-dependent circuit. The physical characteristic of the semiconductor substrate identified by one of an electromagnetic simulation or a silicon measurement. The technology file is adapted for use by an electronic design automation tool to create a netlist for the semiconductor substrate and is stored in a non-transient computer readable storage medium.
    Type: Grant
    Filed: March 31, 2011
    Date of Patent: July 23, 2013
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ke-Ying Su, Ching-Shun Yang, Jui-Feng Kuan, Hsiao-Shu Chao, Yi-Kan Cheng
  • Patent number: 8495537
    Abstract: A method, system or computer usable program product for performing timing analysis on an array circuit including receiving in memory a set of pins to be timed, selecting with a data processor a cross section of the array circuit including the set of pins wherein a backtrace is performed from the set of pins to identify a set of bus groups, each bus group having a plurality of timing pins, and assigning timing for an assigned pin of a first bus group equal to timing calculated for a surrogate pin of the first bus group based on array circuit regularity.
    Type: Grant
    Filed: January 12, 2012
    Date of Patent: July 23, 2013
    Assignee: International Business Machines Corporation
    Inventors: Shyamkumar Thoziyoor, Tae H. Kim, Sang Y. Lee
  • Publication number: 20130185686
    Abstract: A semiconductor circuit design supporting method includes: reading Register Transfer Level (RTL) description circuit data; generating an equivalent circuit corresponding to the RTL description circuit data; extracting a plurality of arithmetic components included in the generated equivalent circuit; clustering some of the extracted arithmetic components as a single arithmetic component, wherein no storage element exists between said some of the extracted arithmetic components; reading a timing constraint on the RTL description circuit data; tracing an exception path of the RTL description circuit data when the timing constraint includes a timing exception; determining whether or not the timing exception is set for input pins of said some of the arithmetic components which are clustered as the single arithmetic component, based on the traced exception path of the RTL description circuit data; and separating a arithmetic component for which the timing exception is set, from said some of the arithmetic component
    Type: Application
    Filed: January 14, 2013
    Publication date: July 18, 2013
    Applicant: CASIO COMPUTER CO., LTD.
    Inventor: CASIO COMPUTER CO., LTD.
  • Publication number: 20130185685
    Abstract: A method, system or computer usable program product for performing timing analysis on an array circuit including receiving in memory a set of pins to be timed, selecting with a data processor a cross section of the array circuit including the set of pins wherein a backtrace is performed from the set of pins to identify a set of bus groups, each bus group having a plurality of timing pins, and assigning timing for an assigned pin of a first bus group equal to timing calculated for a surrogate pin of the first bus group based on array circuit regularity.
    Type: Application
    Filed: January 12, 2012
    Publication date: July 18, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Shyamkumar Thoziyoor, Tae H. Kim, Sang Y. Lee
  • Patent number: 8490040
    Abstract: A method and system for dispositioning integrated circuit chips. The method includes performing a performance path test on an integrated circuit chip having one or more clock domains, the performance path test based on applying test patterns to selected sensitizable data paths of the integrated circuit chip at different clock frequencies; and dispositioning the integrated circuit chip based on results of the performance path test.
    Type: Grant
    Filed: November 11, 2011
    Date of Patent: July 16, 2013
    Assignee: International Business Machines Corporation
    Inventors: Jeanne P. Bickford, Peter A. Habitz, Vikram Iyengar, David E. Lackey, Jinjun Xiong
  • Publication number: 20130179852
    Abstract: Systems and methods for accommodating correlated parameters in SSTA are provided. The method includes determining a correlation between at least two parameters. The method further includes calculating a new parameter or a new parameter set based on the correlation between the at least two parameters. The method further includes performing the SSTA such that the new parameter or the new parameter set is propagated into the SSTA. The method further includes projecting slack using the correlation between the at least two parameters and using a processor.
    Type: Application
    Filed: February 28, 2013
    Publication date: July 11, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: International Business Machines Corporation