Timing Verification (timing Analysis) Patents (Class 716/108)
  • Patent number: 8407640
    Abstract: The invention provides a method for performing statistical static timing analysis using a novel on-chip variation model, referred to as Sensitivity-based Complex Statistical On-Chip Variation (SCS-OCV). SCS-OCV introduces complex variation concept to resolve the blocking technical issue of combining local random variations, enabling accurate calculation of statistical variations with correlations, such as common-path pessimism removal (CPPR). SCS-OCV proposes practical statistical min/max operations for random variations that can guarantee pessimism at nominal and targeted N-sigma corner, and extends the method to handle complex variations, enabling graph-based full arrival/required time propagation under variable compaction. SCS-OCV provides a statistical corner evaluation method for complex random variables that can transform vector-based parametric timing information to the single-value corner-based timing report, and based on the method derives equations to bridge POCV/SSTA with LOCV.
    Type: Grant
    Filed: August 23, 2011
    Date of Patent: March 26, 2013
    Assignee: Synopsys, Inc.
    Inventors: Jiayong Le, Mustafa Celik, Guy Maor, Ayhan Mutlu
  • Patent number: 8407638
    Abstract: In a first aspect, a first method of designing a circuit is provided. The first method includes the steps of (1) providing a model of an original circuit design including a latch; (2) providing a model of a modified version of the original circuit design, wherein the modified version of the original circuit design includes a set of latches associated with the latch of the original circuit design and voting logic having inputs coupled to respective outputs of latches in the latch set; and (3) during Boolean equivalency checking (BEC), injecting an error on at most a largest minority of the inputs of the voting logic to test the voting logic function.
    Type: Grant
    Filed: January 16, 2009
    Date of Patent: March 26, 2013
    Assignee: International Business Machines Corporation
    Inventors: Victor A. Acuna, Robert L. Kanzelman, Scott H. Mack, Brian C. Wilson
  • Patent number: 8407636
    Abstract: A computer-readable, non-transitory medium stores therein a verification support program that causes a computer to execute a procedure. The procedure includes first detecting a state change in a circuit and occurring when input data is given to the circuit. The procedure also includes second detecting a state change in the circuit and occurring when the input data partially altered is given to the circuit. The procedure further includes determining whether a difference exists between a series of state changes detected at the first detecting and a series of state changes detected at the second detecting. The procedure also includes outputting a determination result obtained at the determining.
    Type: Grant
    Filed: December 8, 2010
    Date of Patent: March 26, 2013
    Assignee: Fujitsu Limited
    Inventor: Hiroaki Iwashita
  • Patent number: 8407655
    Abstract: Systems and techniques for fixing design requirement violations in a circuit design in multiple scenarios are described. During operation, a system can receive a scenario image and a multi-scenario ECO database. The scenario image can store parameter values for circuit objects in a scenario, and the multi-scenario ECO database can store a subset of parameter values for a subset of circuit objects in multiple scenarios. Next, the system can determine an engineering change order to fix one or more design requirement violations, which can involve estimating parameter values for circuit objects in multiple scenarios using parameter values stored in the scenario image and the multi-scenario ECO database.
    Type: Grant
    Filed: November 18, 2010
    Date of Patent: March 26, 2013
    Assignee: Synopsys, Inc.
    Inventors: Nahmsuk Oh, Rupesh Nayak, William Chiu-Ting Shu
  • Patent number: 8407641
    Abstract: A technique for verification of a retimed logic design using liveness checking includes assigning a liveness gate to a liveness property for an original netlist and assigning a fairness gate to a fairness constraint for the original netlist. In this case, the fairness gate is associated with the liveness gate and is asserted for at least one time-step during any valid behavioral loop associated with the liveness gate. The original netlist is retimed, using a retiming engine, to provide a retimed netlist. The liveness and fairness gates of the retimed netlist are retimed such that a lag of the fairness gate is no greater than a lag of the liveness gate. Verification analysis is then performed on the retimed netlist. Finally, when the verification analysis yields a valid counter-example trace for the retimed netlist, a liveness violation for the original netlist is returned.
    Type: Grant
    Filed: March 30, 2012
    Date of Patent: March 26, 2013
    Assignee: International Business Machines Corporation
    Inventors: Jason R. Baumgartner, Gabor Bobok, Paul Joseph Roessler, Mark Allen Williams
  • Publication number: 20130074021
    Abstract: A timing analysis mechanism allows defining a clock alias that correlates a clock that is not present in an integrated circuit design to a real clock in the integrated circuit design. In a first implementation, when an existing user assertion references a clock alias, the timing analysis mechanism generates a new user assertion that references the real clock specified in the clock alias. The new user assertion is then used in the timing analysis and the existing user assertion is not. In a second implementation, when an existing user assertion references a clock alias, the timing analysis mechanism generates a duplicate clock in the timing database, preserving all its attributes, and then passes the assertion using the alias name as well as any assertions using the corresponding real clock name to the timing engine to be used in the timing analysis.
    Type: Application
    Filed: November 14, 2012
    Publication date: March 21, 2013
    Applicant: International Business Machines Corporation
    Inventor: International Business Machines Corporation
  • Publication number: 20130069703
    Abstract: Described embodiments provide a delay cell for a complementary metal oxide semiconductor integrated circuit. The delay cell includes a delay stage to provide an output signal having a programmable delay through the delay cell. The delay cell has a selectable delay value from a plurality of delay values and a selectable output skew value from a plurality of output skew values, where the cell size and terminal layout of the delay cell are relatively uniform for the plurality of delay values and the plurality of output skew values. The delay stage includes M parallel-coupled inverter stages of stacked PMOS transistors and stacked NMOS transistors. The stacked transistors have configurable source-drain connections between a drain and a source of each transistor, wherein the selectable delay value corresponds to a configuration of the configurable source-drain connections to adjust a delay value of each of the M inverter stages and an output skew value of the delay cell.
    Type: Application
    Filed: March 23, 2012
    Publication date: March 21, 2013
    Inventors: Martin J. Gasper, Michael J. McManus
  • Publication number: 20130074020
    Abstract: A method for identifying and removing redundant signal paths includes determining whether a given input to a logic circuit is coupled to both an input of a cone of logic of a data input of a clocked state element and a clock gate circuit that is coupled to disable a clock input to the clocked state element. The method may include removing the given input from the cone of logic such that the given input is no longer coupled to the input of the cone of logic responsive to determining that the given input is coupled to both the input of the cone of logic and the clock gate circuit. The method may include preserving the given input to the clock gate circuit such that the given input continues to be coupled to the clock gate circuit after being removed from the input of the cone of logic.
    Type: Application
    Filed: November 13, 2012
    Publication date: March 21, 2013
    Applicant: APPLE INC.
    Inventor: Apple Inc.
  • Publication number: 20130074022
    Abstract: A timing analysis mechanism allows defining a clock alias that correlates a clock that is not present in an integrated circuit design to a real clock in the integrated circuit design. In a first implementation, when an existing user assertion references a clock alias, the timing analysis mechanism generates a new user assertion that references the real clock specified in the clock alias. The new user assertion is then used in the timing analysis and the existing user assertion is not. In a second implementation, when an existing user assertion references a clock alias, the timing analysis mechanism generates a duplicate clock in the timing database, preserving all its attributes, and then passes the assertion using the alias name as well as any assertions using the corresponding real clock name to the timing engine to be used in the timing analysis.
    Type: Application
    Filed: November 14, 2012
    Publication date: March 21, 2013
    Applicant: International Business Machines Corporation
    Inventor: International Business Machines Corporation
  • Patent number: 8402408
    Abstract: An electronic automation system performs register retiming on a logic design, which may be a logic design for a programmable logic integrated circuit. Register retiming is a moving or rearranging of registers across combinatorial logic in a design in order to improve a maximum operating frequency or fmax. In one implementation, the system includes machine-readable code, which may be stored on a computer-readable medium such as a disk, executing on a computer. The system balances timing in order to trade off delays between critical and noncritical paths. Register retiming may make changes to a design at a gate level.
    Type: Grant
    Filed: December 28, 2011
    Date of Patent: March 19, 2013
    Assignee: Altera Corporation
    Inventors: Babette van Antwerpen, Michael D. Hutton, Gregg Baeckler, Richard Yuan
  • Patent number: 8402402
    Abstract: A method for determining simultaneous switching noise for multiple Input/Output (I/O) standards is provided by calculating incremental noise for the multiple I/O standards by considering a cumulative amount of noise contributed by previously assigned pins. In another embodiment, the number of pins being placed is considered rather than the cumulative amount of noise. When considering the cumulative amount of noise the I/O noise from corresponding I/O standards are characterized and a greater contributor is identified so that the I/O standard associated with the greater contributor can be assigned.
    Type: Grant
    Filed: November 28, 2007
    Date of Patent: March 19, 2013
    Assignee: Altera Corporation
    Inventor: Joshua David Fender
  • Patent number: 8402403
    Abstract: A mechanism is provided for verifying a register-transfer level design of an execution unit. A set of instruction records associated with a test case are generated and stored in a buffer. For each instruction record in the set of instruction records associated with the test case: the instruction record is retrieved from the buffer and sent to both a reference model and an execution unit in the data processing system. Separately, the reference model and the execution unit execute the instruction record and send results of the execution of the instruction record to a result checker in the data processing system. The result checker compares the two results and, responsive to a mismatch in the results, a failure of the test case is indicted, the verification of the test case is stopped, and all data associated with the test case is output from the buffer for analysis.
    Type: Grant
    Filed: November 15, 2010
    Date of Patent: March 19, 2013
    Assignee: International Business Machines Corporation
    Inventors: Stefan Letz, Michelangelo Masini, Juergen Vielfort, Kai Weber
  • Patent number: 8402405
    Abstract: This invention provides a system and method for correcting gate-level simulation commences by identifying unknown values (Xs) that are falsely generated during the simulation of a given trace for a design netlist. Then, a sub-circuit of the design netlist is determined for each false X that has inputs of real Xs and an output of a false X. Finally, simulation correction code is generated based on the sub-circuit to eliminate false Xs in simulation of the design netlist. The original design netlist can then be resimulated with the simulation repair code to eliminate false Xs. This allows gate-level simulation to produce correct results.
    Type: Grant
    Filed: May 22, 2012
    Date of Patent: March 19, 2013
    Assignee: Avery Design Systems, Inc.
    Inventors: Kai-Hui Chang, Yen-Ting Liu, Christopher S. Browy, Chilai Huang
  • Patent number: 8397188
    Abstract: Systems and methods for testing a component by using encapsulation are described. The systems and methods facilitate communication between two components that use two different languages in a test environment. Such communication is allowed by encapsulating an identifier of a function to create a call message, encapsulating an identifier of an event to create an event message, or encapsulating an identifier of the function to create a return message.
    Type: Grant
    Filed: September 21, 2010
    Date of Patent: March 12, 2013
    Assignee: Altera Corporation
    Inventor: Paul Norbert Scheidt
  • Publication number: 20130061190
    Abstract: A method for automated functional coverage includes creating event monitors that monitor signals and events within an IC design based upon timing information in a timing report generated by a timing analysis tool. In particular, speed paths that have a higher timing criticality may be selected for monitoring during simulations of the IC design. In addition, if an event is detected on a speed path, the endpoint of that speed path may be forced to a failing value, and the simulation may be resumed. At some point later in the simulation, the simulation results may be checked to determine if a failure that corresponds to the failing value was observed at a structure that would be visible on a manufactured version of the IC design. If the failure is visible, the test vectors that were used may be identified and captured for use in production testing.
    Type: Application
    Filed: September 1, 2011
    Publication date: March 7, 2013
    Inventor: Fritz A. Boehm
  • Patent number: 8392861
    Abstract: To considerably reduce the cell layout change after timing optimization to reduce the term of layout design by estimating timing and an area after the timing optimization. During the period of initial layout processing using a net list, a timing constraint, a floor plan, a layout library, a timing library, etc., a library for estimating timing/area for estimating the timing and area after the timing optimization is created in advance and whether the timing constraint can be met is estimated. A cell in a path that hardly meets the timing constraint is placed in proximity and conversely, a cell that easily meets the timing constraint is placed at a distance. At this time, an area increase is also estimated so that wiring congestion does not occur.
    Type: Grant
    Filed: March 13, 2011
    Date of Patent: March 5, 2013
    Assignee: Renesas Electronics Corporation
    Inventors: Satoshi Shibatani, Ryoji Ishikawa, Kenta Suto
  • Patent number: 8392860
    Abstract: A method for automated functional coverage includes creating event monitors that monitor signals and events within an IC design based upon timing information in a timing report generated by a timing analysis tool. In particular, speed paths that have a higher timing criticality may be selected for monitoring during simulations of the IC design. In addition, if an event is detected on a speed path, the endpoint of that speed path may be forced to a failing value, and the simulation may be resumed. At some point later in the simulation, the simulation results may be checked to determine if a failure that corresponds to the failing value was observed at a structure that would be visible on a manufactured version of the IC design. If the failure is visible, the test vectors that were used may be identified and captured for use in production testing.
    Type: Grant
    Filed: September 1, 2011
    Date of Patent: March 5, 2013
    Assignee: Apple Inc.
    Inventor: Fritz A. Boehm
  • Publication number: 20130055174
    Abstract: A method for verifying functional equivalence between a reference integrated circuit (IC) design and a modified version of the reference IC design includes simulating a reference IC design using a simulation stimulus on a test bench and saving the simulation output. The reference IC design corresponds to an IC design model having visibility to comprehensive internal device state. The method may also include simulating a modified version of the reference IC design using the same simulation stimulus on the same test bench, and saving the modified version simulation output. In addition, the simulation outputs of the reference IC design and the modified version are compared to create a comparison result. Lastly, the method may include determining whether the modified version of the reference IC design is functionally equivalent to the reference IC design based upon the comparison result.
    Type: Application
    Filed: August 23, 2011
    Publication date: February 28, 2013
    Inventor: Fritz A. Boehm
  • Publication number: 20130055182
    Abstract: A computer-readable medium stores a verification support program that causes a computer to execute a process that includes executing a first simulation of applying a given input pattern to circuit information of a circuit under test having a first clock domain and a second clock domain that receives asynchronously a signal from the first clock domain; detecting during execution of the first simulation, an output value that is a random value output by an element in the second clock domain; copying the execution state of the first simulation at the time of detection of the output value; setting in the copied execution state of the first simulation, output of the element in the second clock domain, to a logic value that is different from the detected output value; and executing, exclusive of the first simulation, a second simulation that is based on the set execution state.
    Type: Application
    Filed: June 21, 2012
    Publication date: February 28, 2013
    Applicant: FUJITSU LIMITED
    Inventor: Hiroaki IWASHITA
  • Patent number: 8386978
    Abstract: In one embodiment of the invention, a physical layout wire-load algorithm is used to generate a wire-load model based on physical data including aspect ratio and wire definitions defined in a physical library. The physical layout estimator is utilized to dynamically produce the physical layout wire-load model and to calculate net length and delay for each optimization iteration.
    Type: Grant
    Filed: February 14, 2012
    Date of Patent: February 26, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Hurley Song, Denis Baylor, Matthew Robert Rardon
  • Patent number: 8386973
    Abstract: Disclosed is a behavioral synthesis apparatus for generating a test bench where the same test vector can be used in both the behavioral simulation and the RTL simulation. The apparatus includes input application/output signal observation timing signal generation means that generates an input application timing signal, an output observation timing signal, and logic circuits for the input application timing signal and the output observation timing signal; and test bench generation means that generates a test bench that observes the signals, applies inputs, and observes outputs.
    Type: Grant
    Filed: December 23, 2011
    Date of Patent: February 26, 2013
    Assignee: NEC Corporation
    Inventors: Takashi Takenaka, Akira Mukaiyama, Kazutoshi Wakabayashi
  • Patent number: 8384436
    Abstract: A method includes providing a first clock tree including a root clock and a plurality of levels of integrated clock gates (ICGs) under the root clock. The plurality of levels of ICGs in the first clock tree is flattened to generate a second clock tree including a plurality of ICGs in a same level under the root clock. A fake module is formed to reserve a region between the root clock and the plurality of ICGs. The fake module includes the root clock as a first input, and a first plurality of outputs coupled to clock-inputs of the plurality of ICGs. A skew balancing is performed on the second clock tree using a clock tree synthesis (CTS) tool to generate a third clock tree, wherein no buffers are inserted into the fake module, and wherein buffers are inserted by the CTS tool under the plurality of ICGs.
    Type: Grant
    Filed: January 10, 2011
    Date of Patent: February 26, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Ray Chih-Jui Peng
  • Patent number: 8386975
    Abstract: An improved method, system, user interface, and computer program product is described for using a memory and learning component to improve capacitance and resistance estimates based on the types of layouts and devices being evaluated. According to some approaches, a learning component is implemented that uses recommended test sets from the evaluation component to automatically test the extraction estimates against the field solver. Variability models from manufacturing or electrical analysis may also be used to select a series of objects (unique conductor geometries) that make up a conduction path or net or specific conductor geometries for evaluation and additional learning improvement.
    Type: Grant
    Filed: December 26, 2008
    Date of Patent: February 26, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: David White, Matthew Liberty, Eric Nequist, Michael McSherry
  • Publication number: 20130047127
    Abstract: Methods and apparatuses are disclosed for automatic relative placement of part of a clock tree in the course of generating a placed, routed, and optimized circuit design.
    Type: Application
    Filed: August 17, 2011
    Publication date: February 21, 2013
    Applicant: Synopsys, Inc.
    Inventor: Anand Arunachalam
  • Publication number: 20130043923
    Abstract: Described embodiments provide a delay cell for a complementary metal oxide semiconductor integrated circuit. The delay cell includes a delay stage to provide an output signal having a programmable delay through the delay cell. The delay cell has a selectable delay value from a plurality of delay values, where the cell size and terminal layout of the delay cell are relatively uniform for the plurality of delay values. The delay stage includes M parallel-coupled inverter stages. Each parallel-coupled inverter stage includes N pairs of stacked PMOS transistors and stacked NMOS transistors. The N transistor pairs have configurable source-drain node connections between a drain node and a source node of each transistor in the pair, wherein the selectable delay value corresponds to a configuration of the configurable source-drain node connections to adjust a delay value of each of the M inverter stages.
    Type: Application
    Filed: August 16, 2011
    Publication date: February 21, 2013
    Inventors: Martin J. Gasper, Gerard M. Blair, Bruce E. Zahn
  • Patent number: 8381142
    Abstract: A method for designing a system on a target device is described. In one embodiment of the method, a plurality of registers is replaced with at least one register and a timing exception. In one embodiment, the registers in the plurality of registers are in series or substantially in series. In one embodiment, the timing exception is a multi-cycle exception. In one embodiment, the method also includes identifying a critical combinational logic path that is followed or preceded by the plurality of registers. Further, in one aspect, the timing exception is removed and registers are inserted into the critical combinational logic path to account for the removed timing exception. In one embodiment, a network flow algorithm is performed to determine the locations for inserting registers.
    Type: Grant
    Filed: October 9, 2007
    Date of Patent: February 19, 2013
    Assignee: Altera Corporation
    Inventor: Michael D. Hutton
  • Patent number: 8381150
    Abstract: A method for efficient multithreaded analysis of a timing graph is described. The method is applicable to multithreaded common path pessimism removal, critical path traversing for timing report generation, and other types of analysis requiring traversal of sub-graphs of timing graph. In order to achieve high efficiency and scalability for parallel multithreaded execution, the number of access locks is minimized. One parent computation thread and multiple child threads are employed. The parent computational thread identifies the tasks for analysis and distributes them among child threads. Each child thread identifies a sub-graph to be analyzed, creates a thread-specific replica of the identified sub-graph, and performs the analysis required. After completing the analysis, the child thread transfers the results back to the main timing graph and waits for next task.
    Type: Grant
    Filed: June 2, 2011
    Date of Patent: February 19, 2013
    Assignee: International Business Machines Corporation
    Inventors: Vladimir Zolotov, David J. Hathaway, Kerim Kalafala, Mark A. Lavin, Peihua Qi
  • Patent number: 8381149
    Abstract: A timing analysis apparatus includes a circuit data acquisition section for acquiring circuit data; a path setup section for setting up two paths extending from a clock source to a clock supply destination as a first path and a second path in accordance with the circuit data; a distance calculation section for calculating a coupling point-to-point distance between a first output terminal of the mesh section on the first path and a second output terminal of the mesh section on the second path; a global coefficient decision section for determining, in accordance with the coupling point-to-point distance, a global coefficient that indicates the degree of variation in time period from the moment when a clock signal is issued from the clock source until the moment when the clock signal reaches each output terminal of the mesh section; and a timing verification section for verifying clock supply timing on each of the first path and the second path in accordance with the global coefficient.
    Type: Grant
    Filed: October 13, 2010
    Date of Patent: February 19, 2013
    Assignee: Renesas Electronics Corporation
    Inventor: Yoshitaka Horikoshi
  • Patent number: 8381144
    Abstract: A system and method to select a gate to be modified as a test isolation gate is disclosed. In a particular embodiment, a method includes, after a layout phase of generating a design of a circuit, receiving timing information related to the design of the circuit. The method also includes selectively identifying at least one gate of a combinational logic portion of the design of the circuit to be modified to respond to a test enable signal, the at least one gate identified at least partially based on the timing information. The method also includes modifying the at least one gate. The at least one modified gate is fixed at a constant level during a test mode and is dynamically changeable during a functional mode of operation of the circuit.
    Type: Grant
    Filed: March 3, 2010
    Date of Patent: February 19, 2013
    Assignee: QUALCOMM Incorporated
    Inventors: Frederick C. Jen, Li Qiu, Hsiu C. Ma, Calvin V. Ho, Xiang M. Song, Hsiaohui Wu, Thomas E. Little
  • Patent number: 8381146
    Abstract: A computer-readable, non-transitory medium stores therein a design support program that causes a computer capable of accessing a storage device storing therein for each cell, an output voltage value of the cell, for each elapsed time period from a start of variation of an input voltage applied to the cell, to execute a process. The process includes extracting from the storage device, the output voltage value for each elapsed time period related to an cell under design selected from circuit information of a circuit under design; determining based on a specific voltage value, an extracted elapsed time period to be corrected; adding a time constant of an output from the cell under design to the elapsed time period determined to correction; and outputting the output voltage value for each corrected elapsed time period and the output voltage value for each elapsed time period that is not determined for correction.
    Type: Grant
    Filed: March 16, 2011
    Date of Patent: February 19, 2013
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Mitsuru Onodera
  • Patent number: 8381160
    Abstract: A method of manufacturing a semiconductor device, including the steps of: acquiring information on a graphic composing a physical layout of a semiconductor integrated circuit; carrying out calculation for a transferred image in the physical layout; carrying out calculation for a signal delay based on the physical layout, and obtaining a wiring not meeting a specification having the signal delay previously set therein; and setting a portion into which a repeater is to be inserted based on at least one result of results obtained from the information on the graphic and calculation for the transferred image, respectively, with respect to the wiring not meeting the specification.
    Type: Grant
    Filed: November 3, 2011
    Date of Patent: February 19, 2013
    Assignee: Sony Corporation
    Inventor: Kyoko Izuha
  • Patent number: 8375343
    Abstract: A system and method are disclosed for waveform based variational static timing analysis. A circuit is divided into its linear circuit parts and non-linear circuit parts and modeled together, by a combination of linear modeling techniques, into linear equations that may be represented by matrices. The linear equations in matrix form may be readily solved by a computer such that an input waveform to an input pin of the circuit can be sequentially “pushed” through the various interconnects and logic networks of the circuit to an output pin. Output voltage waveforms are obtained at each stage of the waveform pushing and may be used to perform static timing analysis.
    Type: Grant
    Filed: December 9, 2008
    Date of Patent: February 12, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Saurabh K. Tiwary, Joel R. Phillips, Igor Keller
  • Publication number: 20130036393
    Abstract: A method of designing a model of an integrated circuit block, an electronic design automation tool and a non-transitory computer-readable medium are disclosed herein. In one embodiment, the method includes: (1) generating an input and output timing budget for the block based on design constraints of the block and a netlist of the block, (2) updating the input and output timing budget with clock customization data based on designer knowledge of the integrated circuit and (3) providing the model for the block based on the update of the input and output timing budget, wherein the model represents clock information of the block separately from data path information of the block.
    Type: Application
    Filed: October 11, 2012
    Publication date: February 7, 2013
    Applicant: LSI CORPORATION
    Inventor: LSI CORPORATION
  • Patent number: 8370797
    Abstract: A data processing apparatus includes a host processing apparatus that can cooperatively verify, using generated Timed software, hardware and software of a semiconductor device mounted with a target processing device and an operating system (OS), wherein the host processing apparatus analyzes an assembler of the target processing device and recognizes a Basic Block, which is a basic unit for calculating information concerning time, and generates Timed software for the cooperative verification with reference to the Basic Block.
    Type: Grant
    Filed: June 19, 2009
    Date of Patent: February 5, 2013
    Assignee: Sony Corporation
    Inventors: Md. Ashfaquzzaman Khan, Yasushi Fukuda
  • Patent number: 8370784
    Abstract: Systems and methods are disclosed to automatically design a custom integrated circuit based on algorithmic process or code as input and using highly automated tools that requires virtually no human involvement is disclosed.
    Type: Grant
    Filed: July 13, 2010
    Date of Patent: February 5, 2013
    Assignee: Algotochip Corporation
    Inventors: Satish Padmanabhan, Plus Ng, Anand Pandurangan, Suresh Kadiyala, Ananth Durbha, Tak Shigihara
  • Patent number: 8370779
    Abstract: Disclosed are systems and methods for electrical verification of integrated circuits. Methodologies are described for verification of the power and ground distribution systems (PDS) for system-on-a-chip (SoC) and the verification of the interaction of the PDS with the behavior of integrated circuits.
    Type: Grant
    Filed: January 19, 2011
    Date of Patent: February 5, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Steffen Rochel, David Overhauser, Gregory Steele, Kung Hsu
  • Patent number: 8365111
    Abstract: An apparatus and method may be used for compiling a hardware logic design into data-driven logic programs to be executed on a data-driven chip. The apparatus may include storage with a library for defining a net-list synthesized by a synthesis tool. The apparatus may also include a data-driven logic verification chip comprising a plurality of logic processors. The apparatus may further include a code generator for adopting heuristics to convert the net-list into data driven logic programs and for allocating hardware resources to balance computing and storage loads across the plurality of logic processors of the verification chip.
    Type: Grant
    Filed: February 25, 2009
    Date of Patent: January 29, 2013
    Assignee: ET International, Inc.
    Inventors: Fei Chen, Guang R. Gao
  • Patent number: 8365115
    Abstract: A system and method for performance modeling of integrated circuits is provided. A method for performing timing analysis on an integrated circuit is provided, the integrated circuit having a timing path. The method includes computing a number of non-common timing path elements in the timing path, assigning a timing de-rate factor to the timing path based on the number of non-common timing path elements, and computing a timing analysis on the integrated circuit using the assigned timing de-rate factor.
    Type: Grant
    Filed: December 3, 2009
    Date of Patent: January 29, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Louis Chao-Chiuan Liu, Morly Hsieh, Dei-Pei Liu
  • Patent number: 8365113
    Abstract: In one embodiment of the invention, a method includes partitioning an integrated circuit design into a hierarchy of a top level and a plurality of partitions, wherein the top level includes a top level netlist and each partition includes a partition netlist; receiving data path timing budgets and clock path timing budgets for each of the plurality of partitions of the integrated circuit design; and generating a timing budget model of each partition in response to the respective data path timing budgets and clock path timing budgets, wherein each timing budget model includes an intra-partition clock timing constraint for each respective partition for independent implementation of the top level.
    Type: Grant
    Filed: February 18, 2010
    Date of Patent: January 29, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Vivek Bhardwaj, Oleg Levitsky, Dinesh Gupta
  • Patent number: 8365127
    Abstract: A semiconductor device, includes a first wiring pattern in a first region, a second wiring pattern in a second region, and at least one first dummy pattern formed in the first region and at least one second dummy pattern formed in the second region. A total area of the at least one first dummy pattern is the same as a total area of the at least one second dummy pattern and a total length of pattern periphery of the at least one second dummy pattern is longer than a total length of pattern periphery of the at least one first dummy pattern. The first region and the second region have same area.
    Type: Grant
    Filed: April 18, 2012
    Date of Patent: January 29, 2013
    Assignee: Renesas Electronics Corporation
    Inventor: Keisuke Hirabayashi
  • Patent number: 8365116
    Abstract: The timing cycles in a circuit design are identified and cut such that timing constraint paths in the circuit design are preserved. Timing cycles in the circuit design may be identified by traversing an adjacency list data structure, in which elements of the circuit design are represented as vertices interconnected by edges. Timing constraint paths may be distinguished from false timing paths using timing analysis, such as a greatest common path heuristic. Timing constraint paths may be marked as “constrained” to prevent these paths from being cut. With the cycles and timing constraint paths identified, cuts may be selected that cut the identified timing cycles while preserving the timing constraint paths. The cycle cuts allow the circuit design to be correctly processed within a conventional CAD tool design flow.
    Type: Grant
    Filed: December 6, 2010
    Date of Patent: January 29, 2013
    Assignee: University of Utah Research Foundation
    Inventors: Kenneth S. Stevens, Vikas Vij
  • Patent number: 8359558
    Abstract: An integrated circuit design optimization procedure to modify a cell feature, such as gate length, models changes in delay as a result of the modification. In the delay change calculation, a characteristic of an event in cell switching behavior, such as the output short-circuit voltage VSC, is determined for the modified cell, where changes in the determined characteristic correlate with changes in delay of the cell due to the modification. Next, a value for delay of the modified cell is determined as a function of the determined characteristic of the event. The procedure can be applied after placement and routing. A timing-constrained, leakage power reduction is described using the delay change model.
    Type: Grant
    Filed: March 16, 2010
    Date of Patent: January 22, 2013
    Assignee: Synopsys, Inc.
    Inventors: Qian-Ying Tang, Qiang Chen, Sridhar Tirumala
  • Patent number: 8359565
    Abstract: In one embodiment, the invention is a method and apparatus generating test patterns for use in at-speed testing. One embodiment of a method for use by a general purpose computing device that is configured to generate a set of test patterns with which to test an integrated circuit chip includes receiving, by an input device of the general purpose computing device, statistical timing information relating to the integrated circuit chip and a logic circuit of the integrated circuit chip and generating, by a processor of the general purpose computing device, the set of test patterns in accordance with the statistical timing information while simultaneously selecting a set of paths on which to test the set of test patterns.
    Type: Grant
    Filed: April 4, 2012
    Date of Patent: January 22, 2013
    Assignee: International Business Machines Corporation
    Inventors: Chandramouli Visweswariah, Jinjun Xiong, Vladimir Zolotov
  • Patent number: 8359563
    Abstract: In one embodiment, the invention is a moment-based characterization waveform for static timing analysis. One embodiment of a method for mapping a timing waveform associated with a gate of an integrated circuit to a characterization waveform includes using a processor to perform steps including: computing one or more moments of the timing waveform and defining the characterization waveform in accordance with the moments.
    Type: Grant
    Filed: August 17, 2009
    Date of Patent: January 22, 2013
    Assignee: International Business Machines Corporation
    Inventors: Soroush Abbaspour, Peter Feldmann, David Ling, Chandramouli Visweswariah
  • Patent number: 8356264
    Abstract: A method, system and computer program product for implementing enhanced clock tree distributions to decouple across N-level hierarchical entities of an integrated circuit chip. Local clock tree distributions are constructed. Top clock tree distributions are constructed. Then constructing and routing a top clock tree is provided. The local clock tree distributions and the top clock tree distributions are independently constructed, each using an equivalent local clock distribution of high performance buffers to balance the clock block regions.
    Type: Grant
    Filed: October 28, 2010
    Date of Patent: January 15, 2013
    Assignee: International Business Machines Corporation
    Inventors: Mark R. Lasher, Daniel R. Menard, Phillip P. Normand
  • Patent number: 8356263
    Abstract: Systems and method for defining a timing parameter for a circuit element based on process variation, including, determining a point of failure parameter associated with the timing parameter, the point of failure parameter correlated with a specific value of the process variation. A standard deviation associated with the point of failure parameter is determined. The process variation per the standard deviation is calculated and the timing parameter for the circuit element is defined as a function of the failure parameter, the standard deviation, and the process variation per the standard deviation. A margin factor, which varies with the standard deviation, is optionally applied to the timing parameter. The timing parameter may be one of a setup time or hold time.
    Type: Grant
    Filed: June 30, 2011
    Date of Patent: January 15, 2013
    Assignee: QUALCOMM Incorporated
    Inventors: Xiaonan Zhang, Xiaoliang Bai, Prayag B. Patel
  • Patent number: 8356195
    Abstract: An architecture verifying apparatus includes an input unit receiving a time limit of a semiconductor integrated circuit including modules and buses, and performance specifications of the modules, a bus monitor acquiring bus transactions issued to the buses by the modules, a module monitor acquiring input transactions used when the module inputs data, processing information indicating processing contents and processing time used when the module processes the data, and output transactions used when the module outputs the processed data, a first architecture generator associating the processing information with the bus transaction, the input transaction, the processing information, and the output transaction, to generate a first architecture fulfilling the time limit, a second architecture generator changing the processing time of the first architecture, to generate a second architecture fulfilling the time limit and having power consumption lower than power consumption of the first architecture, and an output u
    Type: Grant
    Filed: March 23, 2010
    Date of Patent: January 15, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Atsushi Kageshima
  • Patent number: 8352893
    Abstract: Aspects of the invention relate to circuit topology recognition and circuit partitioning. In various embodiments of the invention, diode-connected transistors can be identified in a circuit netlist based on the unique structure. From the diode-connected transistors, current mirrors can be found. The current mirrors may be employed for locating differential pairs used in the input stage of operational amplifiers and for locating supply voltage and ground nodes in the netlist. The subcircuits that are strongly connected due to feedback loops of operational amplifiers in the circuit can then be identified and grouped together for circuit analysis and simulation.
    Type: Grant
    Filed: September 16, 2011
    Date of Patent: January 8, 2013
    Assignee: Mentor Graphics Corporation
    Inventors: Pole Shang Lin, Tamer Raed Fahim Riad, Kuei Shan Wen
  • Patent number: 8352895
    Abstract: Worst case performance of an SRAM cell may be simulated more accurately with less intensive computations. An embodiment includes determining, by a processor, a process corner G of an SRAM cell, having pull-down, pass-gate, and pull-up devices, process corner G being defined as the worst performance of the cell when only global variations of parameters of the SRAM cell are included, setting each of the pull-down, pass-gate, and pull-up devices at process corner G, performing, on the processor, a number of Monte Carlo simulations of the SRAM cell devices around process corner G with only local variations of the parameters, generating a normal probability distribution for Iread based on the local Monte Carlo simulations around process corner G, extrapolating the worst case Iread from the normal probability distribution of Iread to define a process corner SRM representing a slowest SRAM bit on a chip, and validating an SRAM cell based on the SRM corner.
    Type: Grant
    Filed: December 21, 2010
    Date of Patent: January 8, 2013
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Vineet Wason, Kevin J. Yang, Sriram Balasubramanian, Lingquan Wang, Varsha Balakrishnan, Juhi Bansal, Zhi-Yuan Wu, Karthik Chandrasekaran, Arunima Dasgupta
  • Patent number: 8352896
    Abstract: Systems and methods for distribution analysis of a stacked-die integrated circuit (IC) are described. The stacked-die integrated circuit includes a primary die, and clock load information for the primary die of the IC is determined. Additionally, a clock load model may be created using the clock load information for the primary die. Clock load information for a second die that is coupled to the primary die may also be determined. The clock load information for the second die may be incorporated into the clock load model to create an enhanced clock load model of the stacked-die IC, which may then be analyzed as if a single-die IC.
    Type: Grant
    Filed: February 28, 2011
    Date of Patent: January 8, 2013
    Assignee: Avago Technologies Enterprise IP (Singapore) Pte. Ltd.
    Inventor: Larry J Thayer