Configuring Plds (including Data File, Bitstream Generation, Etc.) Patents (Class 716/117)
  • Patent number: 8112727
    Abstract: Disclosed is a method, system and computer program product to specify an integrated circuit. The integrated circuit includes a hardwired specific logic technology portion and a programmable specific logic technology portion. The method includes generating a hybrid logic network by mapping each uncertain logic function to an abstract programmable logic element implementation thereof and by mapping each known logic function to a technology-independent logic element implementation thereof; simplifying the hybrid logic network using logic synthesis optimizations; mapping the simplified hybrid logic network to a specific technology by mapping the abstract programmable logic element implementation to the specific programmable logic technology and the technology-independent logic element implementation to the specific logic technology; and further includes optimizing the mapped network to meet performance constraints.
    Type: Grant
    Filed: June 12, 2008
    Date of Patent: February 7, 2012
    Assignee: International Business Machines Corporation
    Inventors: John A Darringer, George W Doerre, Victor N Kravets
  • Publication number: 20120025331
    Abstract: A MEMS structure and methods of manufacture. The method includes forming a sacrificial metal layer at a same level as a wiring layer, in a first dielectric material. The method further includes forming a metal switch at a same level as another wiring layer, in a second dielectric material. The method further includes providing at least one vent to expose the sacrificial metal layer. The method further includes removing the sacrificial metal layer to form a planar cavity, suspending the metal switch. The method further includes capping the at least one vent to hermetically seal the planar cavity.
    Type: Application
    Filed: July 27, 2010
    Publication date: February 2, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Felix P. ANDERSON, Thomas L. MCDEVITT, Anthony K. STAMPER
  • Patent number: 8099691
    Abstract: A method of operating an integrated circuit (“IC”) is disclosed. The method includes identifying one or more unused or inactive resources of the IC which will not be used in a circuit design or which are inactive during operation of the IC. The method also includes enabling resources of the IC which will be used in the circuit design, and also disabling one or more unused or inactive resources of the IC from one or more power supply terminals in response to configuration values which are stored in memory cells.
    Type: Grant
    Filed: June 24, 2009
    Date of Patent: January 17, 2012
    Assignee: Xilinx, Inc.
    Inventors: Tim Tuan, Kameswara K. Rao, Robert O. Conn
  • Patent number: 8082531
    Abstract: A method and an apparatus to design a processing system using a graphical user interface (GUI) are described. The method includes allowing a user to define a transfer function via a GUI. The method may further include submitting the transfer function to a processing device maker associated with a processing device. The processing device maker may generate processing device code without intervention by the user. Furthermore, the processing device may execute the processing device code to perform the transfer function defined.
    Type: Grant
    Filed: August 10, 2005
    Date of Patent: December 20, 2011
    Assignee: Cypress Semiconductor Corporation
    Inventors: Kenneth Ogami, Douglas Anderson, Jon Pearson
  • Patent number: 8079000
    Abstract: An equivalency testing system, for formally comparing an RTLM and HLM, is presented. RTLM and HLM are first converted into DFGs RTLMDFG and HLMDFG. RTLMDFG and HLMDFG are then put into timestep form and are called RTLMts and HLMts. A test bench CSts is selected that couples RTLMts and HLMts. The combination of RTLMts[t], HLMts[t] and CSts[t] can have parts designated as datapath. Parts designated as datapath can be subject to a form of equivalence checking that seeks to prove equivalence by a form of inductive theorem proving that propagates symbolic values indicative of whether a node carries the same data content as another node. The theorem proving starts from initial conditions for HLMts[t] determined by partial execution of the HLM. Propagation to a combinational function output can be determined from equivalence relationships between it and another combinational function. Propagation through a multiplexer can produce a conditional symbolic value.
    Type: Grant
    Filed: August 8, 2008
    Date of Patent: December 13, 2011
    Assignee: Synopsys, Inc.
    Inventors: Alfred Koelbl, Carl Preston Pixley
  • Patent number: 8069286
    Abstract: Methods and apparatus are provided for allowing flexible on-chip datapath interfaces on a device. Datapath connections allow data streamlining without any knowledge of channels or packet boundaries. Flexible and modular interface adapters are used to allow component designers to efficiently provide interoperable components without having to adhere to a strict datapath interface specification. Interface adapters from an adapter library are instantiated and configured automatically when two components are connected.
    Type: Grant
    Filed: October 12, 2010
    Date of Patent: November 29, 2011
    Assignee: Altera Corporation
    Inventors: Kent Orthner, Desmond Ambrose, Andrew M. Draper
  • Patent number: 8069428
    Abstract: A method and apparatus for configuring a microcontroller. An XML description of the microcontroller's hardware resources may be accessed. A user may select from available hardware resources and pre-defined user modules to select a configuration. Configuration information, which may include register bit patterns and microprocessor instructions, may be automatically generated. Additionally, application programming interface calls and structure, as well as interrupt vector tables may be automatically generated. Embodiments of the present invention provide improved ease of use and the ability to manage greater complexity in the configuration of configurable microcontrollers.
    Type: Grant
    Filed: June 12, 2007
    Date of Patent: November 29, 2011
    Assignee: Cypress Semiconductor Corporation
    Inventors: Kenneth Y. Ogami, Doug Anderson, Matthew Pleis, Frederick Redding Hood, III
  • Patent number: 8065644
    Abstract: A computer-implemented method of reducing susceptibility of a circuit design to single event upsets can include determining a susceptibility level of the circuit design to single event upsets, comparing the susceptibility level with a target susceptibility, and selectively applying a mitigation technique to at least one of a plurality of regions of the circuit design when the susceptibility level of the circuit design exceeds the target susceptibility. The circuit design including the mitigated region can be output.
    Type: Grant
    Filed: March 14, 2008
    Date of Patent: November 22, 2011
    Assignee: Xilinx, Inc.
    Inventors: Neil G. Jacobson, John D. Corbett
  • Patent number: 8065130
    Abstract: Programmable architecture for implementing a message processing system using an integrated circuit is described. In an example, configurable logic of an integrated circuit is configured to have a plurality of thread circuits and a memory. Messages are received to the integrated circuit for storage in the memory. The memory is accessed with the plurality of threads to concurrently process a plurality of the messages.
    Type: Grant
    Filed: May 13, 2009
    Date of Patent: November 22, 2011
    Assignee: Xilinx, Inc.
    Inventors: Gordon J. Brebner, Philip B. James-Roxby, Eric R. Keller, Chidamber R. Kulkarni
  • Patent number: 8058898
    Abstract: In one embodiment, a method of converting an uncompressed bitstream into a compressed bitstream for a programmable logic device (PLD) is disclosed. The method includes embedding a first data frame from the uncompressed bitstream into the compressed bitstream, wherein the first data frame comprises a first data set; embedding a first instruction into the compressed bitstream to load the first data frame into a first row of configuration memory of the PLD at an address associated with the first data frame; identifying a second data frame in the uncompressed bitstream, wherein the second data frame comprises the first data set; and embedding a second instruction into the compressed bitstream to load the first data frame into a second row of the configuration memory at an address associated with the second data frame.
    Type: Grant
    Filed: February 24, 2011
    Date of Patent: November 15, 2011
    Assignee: Lattice Semiconductor Corporation
    Inventors: Chan-Chi Jason Cheng, San-Ta Kow, Ann Wu
  • Publication number: 20110267102
    Abstract: Some embodiments of the invention provide a configurable integrated circuit (IC). The IC includes at least fifty configurable circuits arranged in an array having a plurality of rows and a plurality of columns. Each configurable circuit for configurably performing a set of operations. At least a first configurable circuit reconfigures at a first reconfiguration rate. The first configurable circuit performs a different operation each time the first configurable circuit is reconfigured. The reconfiguration of the first configurable circuit does not follow any sequential progression through the set of operations of the first configurable circuit.
    Type: Application
    Filed: April 8, 2011
    Publication date: November 3, 2011
    Inventors: Herman Schmit, Michael Butts, Brad L. Hutchings, Steven Teig
  • Patent number: 8042084
    Abstract: A method of determining a factorization permutation for a natural number can include storing a canonical prime factor vector within memory of a system and storing a first basis vector within the memory. The method can include deriving a first count sequence, including a plurality of counts, from the first basis vector, wherein each count of the first count sequence is a child of the first basis vector. For each count of the first count sequence, a second basis vector can be output that is a child of the count, wherein each count of the first count sequence and child second basis vector specifies a factorization permutation of the natural number.
    Type: Grant
    Filed: June 19, 2009
    Date of Patent: October 18, 2011
    Assignee: Xilinx, Inc.
    Inventors: Jorn W. Janneck, Christopher H. Dick
  • Patent number: 8041551
    Abstract: An algorithm and architecture are disclosed for performing multi-argument associative operations. The algorithm and architecture can be used to schedule operations on multiple facilities for computations or can be used in the development of a model in a modeling environment. The algorithm and architecture resulting from the algorithm use the latency of the components that are used to process the associative operations. The algorithm minimizes the number of components necessary to produce an output of multi-argument associative operations and also can minimize the number of inputs each component receives.
    Type: Grant
    Filed: December 18, 2009
    Date of Patent: October 18, 2011
    Assignee: The MathWorks, Inc.
    Inventors: Alireza Pakyari, Brian K. Ogilvie
  • Patent number: 8042082
    Abstract: The invention relates to multi-planar memory components in a three-dimensional integrated circuit system configuration. A multi-planar memory system consisting of a plurality of memory circuit planes in a three-dimensional system on a chip (3D SoC) comprised of a plurality of memory layers, at least one logic circuit layer and an interface configured to provide access to memory and logic circuit layers.
    Type: Grant
    Filed: September 12, 2008
    Date of Patent: October 18, 2011
    Inventor: Neal Solomon
  • Patent number: 8037440
    Abstract: A method for designing a read-only memory (ROM), and related device, includes partitioning a dataset into two or more sub-datasets that each have the same address space, but are of a smaller bit-width than the original dataset. The sub-datasets are row collapsed, and then respective memory cells for the sub-datasets are provided. The output of the memory cells provides the output of the ROM. Each memory cell includes a decoder that maps addresses to word lines based on mapping information obtained during row collapsing, and a logic array driven by the decoder that encodes the data words of the sub-dataset.
    Type: Grant
    Filed: July 20, 2009
    Date of Patent: October 11, 2011
    Assignee: Agere Systems Inc.
    Inventors: Prasad Avss, Ravi Pathakota
  • Patent number: 8037439
    Abstract: A data aligner in a reconfigurable computing environment is disclosed. Embodiments employ hardware macros in field configurable gate arrays (FPGAs) to minimize the number of configurable logic blocks (CLBs) needed to shift bytes of data. The alignment mechanism allows flexibility, scalability, configurability, and reduced costs as compared to application specific integrated circuits.
    Type: Grant
    Filed: May 26, 2008
    Date of Patent: October 11, 2011
    Assignee: International Business Machines Corporation
    Inventors: Fabrice Jean Verplanken, Jean-Paul Aldebert, Claude Basso, Jean Louis Calvignac
  • Patent number: 8032853
    Abstract: A configuration information writing apparatus for writing configuration information defining a logical configuration of a logic circuit device into the logic circuit device to change the logical configuration thereof, the apparatus comprising: a difference extracting unit that acquires plural pieces of configuration information and extracts differences between each of the acquired plural pieces of configuration information; a differential relation generating unit that generates a differential relation indicating a relation of the differences between each of the plural pieces of configuration information based on the differences extracted by the difference extracting unit; and an order information generating unit that generates order information specifying an order of writing the configuration information from the relation of the differences indicated by the differential relation generated by the differential relation generating unit.
    Type: Grant
    Filed: February 20, 2009
    Date of Patent: October 4, 2011
    Assignee: NEC Corporation
    Inventor: Toru Awashima
  • Patent number: 8028257
    Abstract: A design structure embodied in a machine readable storage medium for designing, manufacturing, and/or testing a design for scheduling the servicing of data requests, using the variable latency mode, in an FBDIMM memory sub-system is provided. A scheduling algorithm pre-computes return time data for data connected to DRAM buffer chips and stores the return time data in a table. The return time data is expressed as data return time binary vectors with one bit equal to “1” in each vector. For each received data request, the memory controller retrieves the appropriate return time vector. Additionally, the scheduling algorithm utilizes an updated history vector to determine whether the received request presents a conflict to the executing requests. By computing and utilizing a score for each request, the scheduling algorithm re-orders and schedules the execution of selected requests to preserve as much data bus bandwidth as possible, while avoiding conflict.
    Type: Grant
    Filed: April 28, 2008
    Date of Patent: September 27, 2011
    Assignee: International Business Machines Corporation
    Inventors: James J. Allen, Jr., Steven K. Jenkins, Michael R. Trombley
  • Patent number: 8028258
    Abstract: A design tool provides interactive graphical pin assignment. In one embodiment, the design tool identifies layout restrictions of a configurable processing device that includes a plurality of pins. The design tool further provides an interactive visual representation of a pin assignment that accommodates the layout restrictions and a user input.
    Type: Grant
    Filed: February 14, 2007
    Date of Patent: September 27, 2011
    Assignee: Cypress Semiconductor Corporation
    Inventors: Kenneth Y. Ogami, Doug Anderson
  • Patent number: 8024681
    Abstract: A Hardware Description Language (HDL) processing method is implemented in a computer and processes a HDL file which is written in HDL having a hierarchical structure including three or more hierarchical levels in a Computer-Aided Design (CAD) which supports hardware design. The HDL processing method analyzes the hierarchical structure of the HDL and obtaining an analysis result, and processes the HDL one at a time for each hierarchical level based on the analysis result or, process the HDL one at a time by a parallel distributed processing for each hierarchical level based on the analysis result.
    Type: Grant
    Filed: December 12, 2008
    Date of Patent: September 20, 2011
    Assignee: Fujitsu Limited
    Inventor: Eiji Furukawa
  • Patent number: 8024688
    Abstract: A method for detecting reverse engineering of a configuration bitstream for an integrated circuit is described. A user design is obtained. It is determined if the user design is a degenerate design. If the user design is a degenerate design, it is determined if a trip point for bitstream generation has been tripped. If the trip point for the bitstream generation has not been tripped, deterrence information is updated and the bitstream generation is allowed to take place. If the trip point for the bitstream generation has been tripped, at least one reverse engineering countermeasure is initiated.
    Type: Grant
    Filed: December 12, 2008
    Date of Patent: September 20, 2011
    Assignee: Xilinx, Inc.
    Inventor: Stephen M. Trimberger
  • Patent number: 8020131
    Abstract: Method and apparatus for mapping flip-flop logic onto shift register logic is described. In one example, a method of processing flip-flop logic in a circuit design for implementation in an integrated circuit is provided. A chain of flip-flops in the circuit design is identified. The chain of flip-flops includes first and second control signals. A shift register is instantiated in a logical description of the circuit design for the chain of flip-flops. A shift register is instantiated in the logical description for the chain of flip-flops. First and second control chains of flip-flops are instantiated in the logical description for the first and second control signals, respectively. A multiplexer is instantiated in the logical description and is configured to select among an output of the shift register, an asserted logic state, and a de-asserted logic state based on outputs of the first and second control chains.
    Type: Grant
    Filed: April 7, 2010
    Date of Patent: September 13, 2011
    Assignee: Xilinx, Inc.
    Inventors: David Nguyen Van Mau, Yassine Rjimati
  • Patent number: 8015531
    Abstract: Mechanisms are provided to allow programmable chip systems to support parameter ranges or a parameter space instead of fixed parameters. A system parameter such as signal width, frequency, clock rate, may be accessed and changed at run-time instead of requiring regeneration and reimplementation of the programmable chip system. Optimized parameter values can be determined and used to generate a programmable chip system having fixed parameter values.
    Type: Grant
    Filed: May 15, 2008
    Date of Patent: September 6, 2011
    Assignee: Altera Corporation
    Inventor: Aaron Ferrucci
  • Patent number: 8010771
    Abstract: The invention provides a communication system including a plurality of communication nodes respectively arranged at predetermined lattice points in lattice space forming a three-dimensional rectangular solid, a communication link that interconnects communication nodes arranged at adjacent lattice points, and a shortcut link that connects, for at least two faces that are not an end face on the lattice space among faces formed of communication nodes of which any adjacent lattice points do not have communication nodes, a communication node constituting one face and a communication node constituting another face.
    Type: Grant
    Filed: December 4, 2006
    Date of Patent: August 30, 2011
    Assignee: International Business Machines Corporation
    Inventors: Takeshi Inagaki, Aya Minami, Yohichi Miwa
  • Patent number: 8010923
    Abstract: A computer-implemented method of implementing a circuit design within a programmable logic device can include selecting at least one circuit element of the circuit design. The selected circuit element can be converted to a latch. A timing analysis can be performed upon the circuit design after conversion of the selected circuit element to a latch. A determination can be made as to whether the timing of the circuit design improves and the conversion of the selected circuit element to a latch can be accepted when the timing of the circuit design improves. The circuit design can be output.
    Type: Grant
    Filed: May 28, 2008
    Date of Patent: August 30, 2011
    Assignee: Xilinx, Inc.
    Inventors: Sankaranarayanan Srinivasan, Sridhar Krishnamurthy, Brian D. Philofsky, Kamal Chaudhary, Anirban Rahut
  • Patent number: 8006204
    Abstract: An automated processor design tool uses a description of customized processor instruction set extensions in a standardized language to develop a configurable definition of a target instruction set, a Hardware Description Language description of circuitry necessary to implement the instruction set, and development tools such as a compiler, assembler, debugger and simulator which can be used to develop applications for the processor and to verify it. Implementation of the processor circuitry can be optimized for various criteria such as area, power consumption, speed and the like. Once a processor configuration is developed, it can be tested and inputs to the system modified to iteratively optimize the processor implementation. By providing a constrained domain of extensions and optimizations, the process can be automated to a high degree, thereby facilitating fast and reliable development.
    Type: Grant
    Filed: March 27, 2006
    Date of Patent: August 23, 2011
    Assignee: Tensilica, Inc.
    Inventors: Earl A. Killian, Ricardo E. Gonzalez, Ashish B. Dixit, Monica Lam, Walter D. Lichtenstein, Christopher Rowen, John C. Ruttenberg, Robert P. Wilson, Albert Ren-Rui Wang, Dror Eliezer Maydan
  • Patent number: 8006209
    Abstract: The present invention is a method and system for outputting a sequence of commands and data described by a flowchart. The method includes steps as follows. A flowchart describing a sequence of commands and data is received. The flowchart includes a plurality of flowchart symbols. Each of the plurality of flowchart symbols is assigned a ROM (read only memory) record. Assigned ROM records are stored in a ROM. A processor is generated to include the ROM, wherein the processor receives as input a CLOCK signal, a RESET signal, an ENABLE signal and N binary inputs x1, x2, . . . xN, and outputs the sequence of commands and data.
    Type: Grant
    Filed: December 9, 2008
    Date of Patent: August 23, 2011
    Assignee: LSI Corporation
    Inventors: Andrey A. Nikitin, Alexander E. Andreev, Ranko Scepanovic
  • Patent number: 8001511
    Abstract: A method of modeling two IC dies using the same software model, although the two dies include physical differences. A first programmable logic device (PLD) die includes first and second portions, and is encoded to render the first portion operational and the second portion non-operational. At a boundary between the two portions, interconnect lines traversing the boundary include a first section in the first portion and a second section in the second portion. The second PLD die includes the first portion of the first PLD die, while omitting the second portion. The interconnect lines extending to the edge of the second die are coupled together in pairs. A software model for both die includes a termination model that omits the pair coupling, adds an RC load compensating for the omitted connection, and (for bidirectional interconnect lines) flags one interconnect line in each pair as being invalid for use by routing software.
    Type: Grant
    Filed: October 6, 2008
    Date of Patent: August 16, 2011
    Assignee: Xilinx, Inc.
    Inventors: Trevor J. Bauer, Jeffrey V. Lindholm, F. Erich Goetting, Bruce E. Talley, Ramakrishna K. Tanikella, Steven P. Young
  • Patent number: 8001510
    Abstract: Disclosure is made of approaches for mapping an electronic design specification to an implementation. In one approach, quality metrics are associated with functional units of the design, and the functional units are mapped to respective initial implementations. For each functional unit a respective quality indicator is determined based on the mapping. The quality indicator specifies a degree to which the functional unit achieves the associated quality metric. At least one of the functional units is selected for remapping based on the quality indicator of that functional unit or the quality indicator of another functional unit. An alternative implementation to the initial implementation is selected for each selected functional unit to improve the quality indicator. The selected functional unit is remapped to the selected alternative implementation.
    Type: Grant
    Filed: September 5, 2008
    Date of Patent: August 16, 2011
    Assignee: Xilinx, Inc.
    Inventors: Ian D. Miller, Jorn W. Janneck, David B. Parlour, Paul R. Schumacher
  • Patent number: 8001509
    Abstract: A user logic design for a mask-programmable logic device (“MPLD”) may be designed on a comparable or compatible user-programmable logic device (“UPLD”) and migrated to the MPLD, or may be designed directly on an MPLD. If the design is designed on a UPLD, the constraints of the target MPLD—i.e., differences between the devices—are taken into account so that the migration will be successful. If the design is designed directly on an MPLD, constraints of a comparable compatible UPLD are taken into account if the user indicates that the design will be migrated to the UPLD for testing. This means that when a logic design is intended to be migrated back-and-forth between a UPLD and an MPLD, only the intersection of features can be used. To facilitate migration, fixed mappings between pairs of devices may be created.
    Type: Grant
    Filed: September 19, 2007
    Date of Patent: August 16, 2011
    Assignee: Altera Corporation
    Inventors: Steven Perry, Gregor Nixon, Larry Kong, Alasdair Scott, Andrew Hall, Lingli Wang, Chris Dettmar, Jonathan Park, Richard Price
  • Patent number: 8001501
    Abstract: A method for designing a circuit. The method includes (i) providing a netlist of a design and (ii) dividing the netlist into N user logics, N being a positive integer. After said dividing the netlist is performed, the N user logics in N macro test wrappers are instantiated resulting in N instantiated logics. After said instantiating the N user logics is performed, the N instantiated logics are processed. After said processing is performed, a result of said processing is back-annotated to the netlist.
    Type: Grant
    Filed: May 19, 2008
    Date of Patent: August 16, 2011
    Assignee: International Business Machines Corporation
    Inventors: Rainer Dorsch, Marta Junginger, Philipp Salz, Andreas Wagner, Gerhard Zilles
  • Patent number: 7992121
    Abstract: A method for connecting a programmable device (PD) and an electronic component (EC) based on a protocol, including: obtaining a signal group of the protocol having a group constraint, a first pin definition including an electrical constraint and a logical constraint, and a second pin definition; mapping the first pin definition to a first pin of the PD based on the electrical constraint, the logical constraint, and the group constraint; identifying a first pin of the EC to connect with the first pin of the PD based on the electrical constraint, the logical constraint, the group constraint, and a connection preference; generating a first connection between the first pin of the EC and a second pin of the PD based on the electrical constraint, the logical constraint, the group constraint, and the connection preference; and storing the first connection in an edge list.
    Type: Grant
    Filed: January 9, 2009
    Date of Patent: August 2, 2011
    Assignee: Cadence Design Systems, Inc.
    Inventors: Nagesh Chandrasekaran Gupta, Ravi Srinivasa Vedula
  • Patent number: 7987373
    Abstract: Methods and apparatuses for enforcing terms of a licensing agreement between a plurality of parties involved in a particular hardware design through the use of hardware technologies. According to one embodiment, a hardware sub-design includes a license verification sub-design that is protected from user modification by encryption. In one embodiment, a license is generated based on a trusted host identifier within an external hardware device. In one embodiment, each trusted host identifier is unique, and no two integrated circuits share the same trusted host identifier. In another embodiment, the integrated circuit is a field programmable gate array or an application specific integrated circuit. In one embodiment, a license determines how long the hardware sub-design will operate when the hardware sub-design is implemented within an integrated circuit having a trusted host identifier.
    Type: Grant
    Filed: September 30, 2004
    Date of Patent: July 26, 2011
    Assignee: Synopsys, Inc.
    Inventor: Kenneth S. McElvain
  • Patent number: 7984407
    Abstract: A programmable device with contact via programming to reduce leakage current and a method for reducing standby power for such programmable device are described. Configuration memory cells are identified responsive to instantiation of a user design in a test platform of the programmable device. The programmable device is via programmed during manufacturing thereof to not couple for programmability a first portion of the configuration memory cells and to form a first portion of the user design associated with the first portion of the configuration memory cells as hard-wired and to couple for programmability a second portion of the configuration memory cells for subsequent instantiation of a second portion of the user design in the programmable device.
    Type: Grant
    Filed: July 24, 2007
    Date of Patent: July 19, 2011
    Assignee: Xilinx, Inc.
    Inventor: Bernard J. New
  • Patent number: 7984343
    Abstract: A test circuit can use a simple test pattern data without customization for each substrate and considerably reduce a test preparation process. A connection test circuit is generated by receiving the input of the data of the connection relation indicating the devices mutually line-connected among a plurality of devices, the number of connection lines corresponding to the respective connection relations, and the device outputting a test result, sequentially searching for a connection destination device from the output terminal of an output device, and embedding a test circuit module in a test route.
    Type: Grant
    Filed: August 10, 2009
    Date of Patent: July 19, 2011
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Kohichi Tamai
  • Patent number: 7982495
    Abstract: The configurable logic device comprises a plurality of configurable logic cells (2). A configurable logic cell comprises a plurality of multi-bit registers (20a, 20b, 20c, 20d). At least one is accessible both in a parallel and in a serial fashion. A functional unit (30) therein is coupled to two or more of the registers and comprises a chain of functional unit segments (31, 31?) that each comprise an AND gate (33) and a 1-bit full adder (32) receiving an output of the AND-gate. An output selection facility (50) provides an output signal of the configurable logic cell selected from two or more input signals. At least one of the input signals is provided by one of the multi-bit registers, and another by the functional unit.
    Type: Grant
    Filed: August 22, 2007
    Date of Patent: July 19, 2011
    Assignee: ST-Ericsson SA
    Inventors: Alexander A. Danilin, Martinus T. Bennebroek, Sergei V. Sawitzki
  • Patent number: 7979826
    Abstract: Methods of providing error correction in configuration bitstreams for programmable logic devices (PLDs). While any error correction method can be used, in one embodiment a Hamming code is applied to instructions in the configuration bitstream, while a product code is applied to configuration data. Thus, the higher overhead required for a Hamming code applies to only a few words in the bitstream. The instructions are corrected on receipt of the word that includes the Hamming code, so the instructions are executed correctly even if a transmission error has occurred. However, configuration data can be stored in the configuration memory without correction. With a product code, the exact location of an erroneous bit is not known until the end of the transmission, when a parity word is received. At this time, the PLD can go back and correct erroneous bits in the configuration data prior to enabling the newly loaded design.
    Type: Grant
    Filed: June 21, 2007
    Date of Patent: July 12, 2011
    Assignee: Xilinx, Inc.
    Inventor: Stephen M. Trimberger
  • Patent number: 7979827
    Abstract: A method of configuring a device having programmable logic is disclosed. The method comprises generating a netlist associated with a circuit design; coupling the netlist to the device having programmable logic; performing a re-targeting function using a circuit on the device having programmable logic; generating configuration bits for configuring the programmable logic; and configuring the programmable logic to implement the circuit design according to the configuration bits based upon the netlist and results of the re-targeting function.
    Type: Grant
    Filed: March 5, 2008
    Date of Patent: July 12, 2011
    Assignee: Xilinx, Inc.
    Inventors: Stephen M. Trimberger, Austin H. Lesea
  • Patent number: 7979834
    Abstract: A computer-implemented method of predicting timing characteristics within a semiconductor device can include determining configuration information for the semiconductor device and determining a measure of timing degradation for data signals of the semiconductor device according to the configuration information. The measure of timing degradation for the data signals can be output.
    Type: Grant
    Filed: January 24, 2008
    Date of Patent: July 12, 2011
    Assignee: Xilinx, Inc.
    Inventor: Anthony T. Duong
  • Patent number: 7979835
    Abstract: A method of estimating resource requirements for a circuit design is disclosed. The method comprises identifying intermediate circuit modules of a netlist associated with the circuit design; accessing a library of resource requirements for intermediate circuit modules of netlists for circuit designs; selecting intermediate circuit modules of the library according to predetermined parameters for the circuit design; and generating an estimate of resource requirements for the circuit design based upon resource requirements of the selected intermediate circuit modules.
    Type: Grant
    Filed: March 3, 2008
    Date of Patent: July 12, 2011
    Assignee: Xilinx, Inc.
    Inventors: Paul R. Schumacher, Ian D. Miller, David B. Parlour, Jorn W. Janneck, Pradip Kumar Jha
  • Patent number: 7975250
    Abstract: A dual mesh interconnect network in a heterogeneous configurable circuit may be allocated between data communication and control communication.
    Type: Grant
    Filed: August 6, 2008
    Date of Patent: July 5, 2011
    Assignee: Intel Corporation
    Inventors: Hooman Honary, Inching Chen, Ernest T. Tsui
  • Publication number: 20110161906
    Abstract: A signal converter device has a programmable logic circuit, wherein a number of binary input signals are being transmitted from the outside of the signal converter unit. The programmable logic circuit is programmed by a programming in such a way, as to detect binary output signals from a number of logic functions. The output signals are output by the signal converter device to the outside. The logic functions are designed in such a manner, that the output signals are determined exclusively by logic associations of the input signals. The output signals are at least partially transmitted to drives. Programming is in such a way, that for at least two drives, output signals to be emitted to the drives are determined uniformly.
    Type: Application
    Filed: August 8, 2007
    Publication date: June 30, 2011
    Inventors: Ulrich Hahn, Jürgen Lange, Rolf-Dieter Pavlik
  • Patent number: 7971172
    Abstract: Some embodiments provide a reconfigurable IC that implements a design that is designed at a particular design clock rate. The reconfigurable IC includes reconfigurable circuits for performing operations on a set of inputs in the particular design. The IC further includes routing circuits for routing signals to and from the logic circuits to allow the logic circuits to perform the operations. The reconfigurable IC implements the design by having reconfigurable circuits that reconfigure at a rate faster than the design clock rate. For at least one operation which is defined at the design clock rate, the reconfigurable IC replicates the operation set in at least two reconfiguration cycles to reduce consumption of routing circuits.
    Type: Grant
    Filed: March 17, 2008
    Date of Patent: June 28, 2011
    Assignee: Tabula, Inc.
    Inventors: Daniel J. Pugh, Andrew Caldwell
  • Patent number: 7966591
    Abstract: Embodiments include a system and method for generating RTL description of an electronic device provided for a design test and a test bench environment to drive stimulus into the electronic device, identifying at least one register to be verified during the design test, authoring a property list including a plurality of properties, wherein each property includes a cause and an effect, creating a new property instance upon receiving an enqueue cause, transitioning a property instance from a waiting state to a pending state based on a dequeue cause, advancing property instances from the pending state to an active state and then to an expired state based on a defined time window, creating a current solution space including a plurality of solutions, wherein each of the plurality of solutions includes a list of unused active effects, inserting property instances into each of the plurality of solutions when the property instance enters to active state, pruning solutions from the current solutions space which have no
    Type: Grant
    Filed: October 9, 2008
    Date of Patent: June 21, 2011
    Assignee: Cray, Inc.
    Inventors: John Thompson, Michael Bye
  • Patent number: 7952387
    Abstract: A memory initialization file and one or more design files associated with configuring an IC are identified. The memory initialization file is encrypted using one or more encryption algorithms. A configuration bit stream is generated by compiling and assembling the encrypted memory initialization file and the one or more design files. During the programming phase, the configuration bit stream is received at the IC, decoded and logic design and content of encrypted memory initialization file are loaded into the respective logic elements and memory arrays of the IC. The IC then transitions into a user phase where the contents of the encrypted memory initialization file in the memory arrays are decrypted and validated at the on-chip memory within the IC to ensure that the integrity of the content is maintained. Upon successful verification of the integrity of the content, the content within the on-chip memory is available for processing.
    Type: Grant
    Filed: September 19, 2008
    Date of Patent: May 31, 2011
    Assignee: Altera Corporation
    Inventor: Rodney Frazer
  • Publication number: 20110126164
    Abstract: A mapping apparatus maps, on a semiconductor integrated circuit, a circuit function described in a circuit description, the semiconductor integrated circuit having a plurality of reconfigurable cores arranged separately from one another and having a logic reconfiguration function. A first group of register circuits are formed between at least two reconfigurable cores included in the plurality of reconfigurable cores and temporarily hold an output from one of the reconfigurable cores and transferring the output to another one of the reconfigurable cores. The mapping apparatus includes a divider that divides the circuit function into a plurality of circuit function blocks, an eliminator that eliminates a register from between the plurality of circuit function blocks and a synthesis executer that executes logic synthesis on each of the plurality of circuit function blocks from between which the register has been eliminated.
    Type: Application
    Filed: February 3, 2011
    Publication date: May 26, 2011
    Applicant: PANASONIC CORPORATION
    Inventor: Shinichi MARUI
  • Patent number: 7949969
    Abstract: System and method for developing an ASIC. A software program may be stored which includes program instructions which implement a function. The software program may be executed on a processing system at a desired system speed and may be validated based on the execution. A first hardware description of at least a portion of the processing system may be stored and may specify implementation of at least a portion of the processing system. A second hardware description may be generated that corresponds to a first portion of the first hardware description. The second hardware description may specify a dedicated hardware implementation of a first portion of the software program executing on the processing system. Generation of the second hardware description may be performed one or more times to fully specify the ASIC. An ASIC may be created which implements the function of the software program.
    Type: Grant
    Filed: July 16, 2010
    Date of Patent: May 24, 2011
    Assignee: Coherent Logix, Incorporated
    Inventor: Tommy K. Eng
  • Patent number: 7949980
    Abstract: Computer-aided-design tools are provided that support real-time phase-locked loop reconfiguration with a single design compilation. Each design compilation may involve operations such as logic synthesis and place and route operations. A circuit designer who is designing an integrated circuit may supply circuit design data. The circuit design data may include design data for multiple configurations of a phase-locked loop. By using a phase-locked loop scan chain initialization file generator engine located in a CAD tool design input wizard, the computer-aided-design tools may produce multiple phase-locked loop initialization files without performing a design compilation. The CAD tools may process one or more initialization files and the circuit design data to produce output data. The output data may include configuration data to implement the circuit design.
    Type: Grant
    Filed: July 31, 2008
    Date of Patent: May 24, 2011
    Assignee: Altera Corporation
    Inventors: Ian Eu Meng Chan, Kumara Tharmalingam
  • Patent number: 7945880
    Abstract: In one embodiment of the invention, a method of retiming a circuit is disclosed. The method includes computing an upper bound and a lower bound for a clock period of a clock signal to clock a circuit in response to a netlist of the circuit; selecting a potential clock period for the clock signal to clock registers of the circuit in response to the computed upper bound and the computed lower bound for the clock period; computing an upper bound and a lower bound of a retiming value for each node of the circuit to determine if a retiming of the circuit is achievable with the potential clock period; and computing the retiming value for each node of the circuit to minimize circuit area in response to the computed upper bound and the computed lower bound of the retiming value for each node.
    Type: Grant
    Filed: May 30, 2007
    Date of Patent: May 17, 2011
    Assignee: Cadence Design Systems, Inc.
    Inventors: Christoph Albrecht, Sascha Richter
  • Patent number: 7945878
    Abstract: A method to validate data used in a design of a semiconductor product currently in a partially fabricated state is disclosed. The partially fabricated state having a plurality of layers up to and including a first conductive layer. The method generally includes the steps of (A) adding a second conductive layer from a user specification to an application set, the application set having a plurality of resources that define the semiconductor product, (B) validating a new resource in the user specification against the resources in the application set, (C) adding the new resource to the application set upon passing the validating and (D) propagating the new resource throughout a description of the semiconductor product, the description being stored in a computer-readable medium.
    Type: Grant
    Filed: May 15, 2008
    Date of Patent: May 17, 2011
    Assignee: LSI Corporation
    Inventors: Todd Jason Youngman, John Emery Nordman, Scott T. Senst