Configuring Plds (including Data File, Bitstream Generation, Etc.) Patents (Class 716/117)
  • Patent number: 8344755
    Abstract: Some embodiments provide an IC with configuration context switchers. The IC includes several configurable circuits, each of which configurably performs one of several operations at any given time, based on the configuration data set that it receives at that time. The IC includes several storage circuits for storing several configuration data sets for each of the configurable circuits. The IC also includes a context switching interconnect circuit for switchably connecting the configurable circuit to different sets of storage circuits to receive different sets of configuration data sets. The context switcher includes one or more stages for re-timing the data coming from the configuration storage elements. The stages can include interconnect circuitry or storage circuitry. Some embodiments build one of the stages in the configuration data storage elements. Some embodiments encode the configuration data bits and hence utilize a decoder in the context switcher to decode the encoded configuration data.
    Type: Grant
    Filed: September 8, 2008
    Date of Patent: January 1, 2013
    Assignee: Tabula, Inc.
    Inventors: Trevis Chandler, Jason Redgrave, Martin Voogel
  • Patent number: 8341580
    Abstract: A routing fabric using multiple levels of switching networks along with associated routing matrices to allow a more uniform and shorter interconnection or routing path among logic modules or routing modules compared with those in the conventional designs. The resulting routing fabric can be used in electronic devices, such as switching networks, routers, and programmable logic circuits, etc.
    Type: Grant
    Filed: September 28, 2009
    Date of Patent: December 25, 2012
    Assignee: Advantage Logic, Inc.
    Inventors: Peter M Pani, Benjamin S. Ting
  • Patent number: 8341581
    Abstract: A relatively small amount of programmable or reprogrammable logic (pro-Logic) is included in a mostly-ASIC device so that such re/programmable logic can be used as a substitute for, or for bypassing a fault-infected ASIC block (if any) either permanently or at times when the fault-infected ASIC block is about to perform a fault-infected operation (bug-infected operation): The substitution or bypass does not have to be a permanent one that is in effect at all times for the entirety of the fault-infected ASIC block. Instead affected outputs of the faulty ASIC block can be disabled from working just at the time they would otherwise initiate or propagate an error. Such fault-infected operations of the temporarily deactivated ASIC block(s) may be substituted for by appropriately programmed pro-Logic at the appropriate times.
    Type: Grant
    Filed: June 24, 2008
    Date of Patent: December 25, 2012
    Assignee: Sheyu Group, LLC
    Inventor: James T. Koo
  • Publication number: 20120319752
    Abstract: A method, new use for Look-Up Tables (LUTs), and a Field Programmable Gate Array (FPGA) chipset are provided for delaying data signals. The FPGA comprises an input and a set of LUTs operationally connected to and receiving from the interface a data signal and a clock signal. The set of LUTs delay the data signal by a delay so that a corresponding first delayed data signal output from the set of LUTs is so synchronized with the clock signal for appropriate sampling of the delayed data signal to be performed by the FPGA chipset. A process of manufacturing of the FPGA chipset comprises calculating a delay for delaying and synchronising the data signal with a clock signal to meet requirements of the chipset, calculating a number of LUTs for delaying the data signal, and implementing in a data path of the data signal the number of LUTs.
    Type: Application
    Filed: June 17, 2011
    Publication date: December 20, 2012
    Applicant: TELEFONAKTIEBOLAGET LM ERICSSON(PUBL)
    Inventors: Kenan Qu, Tonghai Gao
  • Patent number: 8332796
    Abstract: An Application-Specific Field Programmable Gate Array (FPGA) device or fabric is described for use in applications requiring fast reconfigurability of devices in the field, enabling multiple personalities for re-using silicon resources (like arrays of large multipliers in DSP applications) from moment-to-moment for implementing different hardware algorithms. In a general purpose FPGA device or fabric, this fast reconfigurability is normally implemented by special reconfiguration support circuitry and/or additional configuration memory. Unfortunately, this flexibility requires a large amount of programmable routing resource and silicon area—limiting the viability in volume production applications. This invention describes how multi-program FPGA functionalities may be migrated to smaller die by constructing implementations with a hybrid FPGA/ASIC interconnect structure.
    Type: Grant
    Filed: October 29, 2009
    Date of Patent: December 11, 2012
    Assignee: Roann Circuits Tech Ltd., L.L.C.
    Inventor: Robert Osann, Jr.
  • Patent number: 8332795
    Abstract: In an embodiment, a method to automatically select groups of signals to be multiplexed on pins of a programmable logic device in a programmable logic device implementation of at least a portion of an integrated circuit is contemplated. The set of signals that may be candidates for multiplexing may be received (e.g., the set may be output by programmable logic device design tool). Clock domain tracing may be performed, and signals that have matching clock domains may be identified as candidates for multiplexing. Signals from matching clock domains may be grouped (up to a maximum number of signals that may be multiplexed on one pin) and assigned to pins of the programmable logic devices.
    Type: Grant
    Filed: December 15, 2009
    Date of Patent: December 11, 2012
    Assignee: Apple Inc.
    Inventor: Chih-Ang Chen
  • Patent number: 8305110
    Abstract: Some embodiments of the invention provide a configurable integrated circuit (IC). The IC includes at least fifty configurable circuits arranged in an array having a plurality of rows and a plurality of columns. Each configurable circuit for configurably performing a set of operations. At least a first configurable circuit reconfigures at a first reconfiguration rate. The first configurable circuit performs a different operation each time the first configurable circuit is reconfigured. The reconfiguration of the first configurable circuit does not follow any sequential progression through the set of operations of the first configurable circuit.
    Type: Grant
    Filed: April 8, 2011
    Date of Patent: November 6, 2012
    Assignee: Tabula, Inc.
    Inventors: Herman Schmit, Michael Butts, Brad L. Hutchings, Steven Teig
  • Patent number: 8291360
    Abstract: A data conversion apparatus for converting circuit description related to a dynamically-reconfigurable circuit to circuit configuration information, the data conversion apparatus includes a first generation section that generates a data flow graph from the circuit description; a segment count determining section that determines a number of segments for segmenting the data flow graph generated by the first generation section; a virtual circuit creating section that creates a virtual circuit that has as many resources of the dynamically-reconfigurable circuit as the number of the resources multiplied by the number of segments determined by the segment count determining section; a second generation section that generates, from the circuit description, a data flow graph corresponding to the virtual circuit created by the virtual circuit creating section; and a conversion section that allocates and adjusts the resources of the virtual circuit in accordance with the data flow graph.
    Type: Grant
    Filed: July 15, 2009
    Date of Patent: October 16, 2012
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Hayato Higuchi, Shinichi Sutou, Tsuguchika Tabaru, Manabu Matsuyama, Ryuichi Ohzeki, Toshihiro Suzuki
  • Patent number: 8291355
    Abstract: Providing ASIC equivalents of FPGAs is facilitated and made more efficient and economical by using an ASIC architecture including a plurality of so-called hybrid logic elements (“HLEs”), each of which can provide a portion of the full functionality of an FPGA logic element (“LE”). The functionality of each FPGA LE implementing a user's logic design can be mapped to one or more HLEs without re-synthesis of the user's logic. Only as many HLEs as are necessary are used to perform the functions of each LE. The one-for-one equivalence between each LE and either (1) one HLE or (2) a group of HLEs facilitates mapping (without re-synthesis) in either direction between FPGA and ASIC designs.
    Type: Grant
    Filed: December 14, 2010
    Date of Patent: October 16, 2012
    Assignee: Altera Corporation
    Inventors: Kar Keng Chua, Sammy Cheung, Hee Kong Phoon, Kim Pin Tan, Wei Lian Goay
  • Patent number: 8285535
    Abstract: Processor/memory co-exploration at multiple abstraction levels. An architecture description language (ADL) description of a processor/memory system is accessed. The ADL description models on one of a plurality of abstraction levels. The abstraction levels may include a functional (or bit-accurate) level and a cycle-accurate level. Further, a communication protocol for the processor/memory system is accessed. The communication protocol is formed from primitives, wherein a memory interface formed from the primitives is useable in simulation at the abstraction levels. A processor/memory simulation model is automatically generated from the description and description of the communication protocol. The processor/memory simulation model comprises a processor/memory interface comprising the primitives and based on the communication protocol. The memory interface allows simulation of the processor/memory on the appropriate abstraction level for the simulation.
    Type: Grant
    Filed: August 30, 2010
    Date of Patent: October 9, 2012
    Assignee: Synopsys, Inc.
    Inventors: Gunnar Braun, Olaf Zorres, Achim Nohl, Andreas Hoffmann
  • Patent number: 8286113
    Abstract: A system and method are provided for verifying implementation of a logic core in a complete bitstream. A logic core bitstream is extracted from the complete bitstream. The logic core bitstream is compared to a reference bitstream of the logic core for a target device. In response to no discrepancy in the comparison of the logic core bitstream and the reference bitstream, a data value is stored indicating that the logic core implementation contained in the complete bitstream is verified.
    Type: Grant
    Filed: January 11, 2011
    Date of Patent: October 9, 2012
    Assignee: Xilinx, Inc.
    Inventors: Brendan K. Bridgford, Jason J. Moore, W. Story Leavesley, III, Derrick S. Woods
  • Patent number: 8281265
    Abstract: In a system including a multidimensional field of reconfigurable elements, and a method for operating said field of reconfigurable elements, one or more groups of said elements suitable for processing a predetermined task may be determined, a particular one of the one or more groups is selected, and the selected group is configured in a predetermined manner during runtime for processing the predetermined task, and in manufacturing of said system.
    Type: Grant
    Filed: November 19, 2009
    Date of Patent: October 2, 2012
    Inventors: Martin Vorbach, Frank May, Armin Nuckel
  • Patent number: 8271924
    Abstract: Methods and apparatus are provided for allowing components such as buffers, multiplexers, ingress cores, etc. on a device such as a programmable chip to configure themselves based on parameter information. In some examples, self-configuring components obtain parameter information from adjacent components. In other examples, self-configuring components obtain parameter information from a system environment or a processor register. Component self-configuration can occur at a variety of times including preprocessing, simulation, and run-time.
    Type: Grant
    Filed: April 20, 2009
    Date of Patent: September 18, 2012
    Assignee: Altera Corporation
    Inventors: Kent Orthner, Desmond Ambrose, Geoff Barnes
  • Patent number: 8271911
    Abstract: Approaches for reporting hardware events from circuitry implemented in an integrated circuit (IC). The IC is configured with a circuit to be analyzed and an event monitor circuit. A process invokes an application programming interface (API) function that references an operating system managed object. The API function includes a parameter value that references the object. The process is operated in a first manner when the object is in a first state. An interrupt signal is generated by the event monitor circuit to the processor in response to an input signal from the circuit under analysis, which initiates execution of an interrupt handler. The object is placed in a second state by the interrupt handler. The process is operated in a second manner different from the first manner in response to the object transitioning to the second state.
    Type: Grant
    Filed: September 13, 2007
    Date of Patent: September 18, 2012
    Assignee: Xilinx, Inc.
    Inventor: Adam P. Donlin
  • Patent number: 8266575
    Abstract: Systems and methods for dynamically reconfiguring a programmable system on a chip. A graphical user interface for dynamically reconfiguring a programmable system on a chip includes graphical user interface (GUI) display elements of a plurality of parameter values presently controlling operation of a device on a target apparatus. The GUI display elements are operable to accept modifications to the plurality of parameter values and for communicating the modifications to the programmable system on a chip. The GUI display elements may present parameter values in alphanumeric and/or graphical formats, and may accept changes via keyboard or cursor directing device input.
    Type: Grant
    Filed: December 21, 2007
    Date of Patent: September 11, 2012
    Assignee: Cypress Semiconductor Corporation
    Inventors: Kenneth Y. Ogami, Marat Zhaksilikov, Andrew Best
  • Patent number: 8261219
    Abstract: A method is disclosed for designing a semiconductor circuit on a multi-project wafer (MPW). One or more standard modules designed by one or more vendors with verified functions are first identified. Some of the standard modules are charged based on usage. At least one reconfigurable module of the MPW is programmed by making one or more connections through one or more connection layers. The standard modules are further connected with the programmed reconfigurable module according to the predetermined design of the circuit. The completed circuit is then verified for final uses.
    Type: Grant
    Filed: June 4, 2008
    Date of Patent: September 4, 2012
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Kun-Lung Chen, Shine Chien Chung, Yung-Chin Hou, Yu-Chun Wu
  • Patent number: 8255852
    Abstract: A distributable and serializable finite state machine and methods for using the distributable and serializable finite state machine are provided wherein finite state machine instance can be location-shifted, time-shifted or location-shift and time-shifted, for example by serializing and deserializing each instance. Each instance can be located-shifted between agents, and a persistent memory storage location is provided to facilitate both location-shifting and time-shifting. Finite state machine instances and the actions that make up each instance can be run in a distributed fashion among a plurality of agents.
    Type: Grant
    Filed: August 14, 2009
    Date of Patent: August 28, 2012
    Assignee: International Business Machines Corporation
    Inventors: James R. Challenger, Louis R. Degenaro, James R. Giles, Paul Reed, Rohit Wagle
  • Patent number: 8255854
    Abstract: A method for reducing delay in an integrated circuit by compensating for differences in rise and fall delay times comprises creating a timing graph; computing minimum delay tuples for nodes in the timing graph; if there is not at least one feasible delay tuple, determining a longest path and computing minimum delay tuples for the longest path; changing polarities on the longest path to reduce delays; updating the timing graph by transferring new polarity and delay values; performing timing analysis to determine a new longest path if the new longest path is shorter than the prior longest path, accepting a resulting polarity selection and computing minimum delay tuples for the longest path; if the new longest path is not shorter than the prior longest path, accepting a resulting polarity selection and implementing changes in a user-program bitstream.
    Type: Grant
    Filed: April 2, 2010
    Date of Patent: August 28, 2012
    Assignee: Actel Corporation
    Inventors: Kai Zhu, Volker Hecht
  • Patent number: 8255853
    Abstract: An apparatus for circuit emulation may include a first circuit board, one or more circuit emulation resource on the first circuit board, a first interconnection interface on the first circuit board, and a second interconnection interface on the first circuit board. The first circuit board may include conductive wiring paths. The circuit emulation resource is on the first circuit board and coupled with a portion of the conductive wiring paths, with each circuit emulation resource being configured to emulate a portion of an electronic circuit by receiving input signals and producing output signals in response to the input signals. The first interconnection interface is on the first circuit board and coupled with at least a first portion of the circuit emulation resource, The first interconnection interface may be configured to couple with an interconnection interface of a second circuit board having a second group of conductive wiring paths and having a second group of circuit emulation resources.
    Type: Grant
    Filed: April 8, 2010
    Date of Patent: August 28, 2012
    Assignees: SpringSoft USA, Inc., SpringSoft, Inc.
    Inventors: MingYang Wang, Sweyyan Shei, Hwa Mao
  • Patent number: 8250510
    Abstract: An SSO noise calculating unit estimates the amount of simultaneously operating signal noise caused by simultaneous operations of input/output pins peripheral to a power supply voltage pin as a center. A PLL jitter calculating unit estimates the amount of jitter occurring at the power supply voltage pin by using as an input the estimated amount of simultaneously operating signal noise, and by referencing a correlation between the amount of simultaneously operating signal noise and the amount of jitter, which indicates a correlation calculated beforehand between the amount of simultaneously operating signal noise and the amount of jitter.
    Type: Grant
    Filed: August 29, 2008
    Date of Patent: August 21, 2012
    Assignee: Fujitsu Limited
    Inventor: Yasuo Kousaki
  • Patent number: 8230375
    Abstract: An integrated circuit fabricated by a mask set including a mask to generate a metal pattern defined by CAD software, the metal pattern generation method including: reading a binary data set, the data points in the set uniquely matched to a plurality of fixed metal tabs; and selecting a metal tab from a first set of selectable metal tabs for a first data value, or a second set of selectable metal tabs for a second data value for each of the fixed metal tabs; wherein a first set metal tab and a second set metal tab couples each said fixed metal tab to first and second voltages respectively.
    Type: Grant
    Filed: September 14, 2008
    Date of Patent: July 24, 2012
    Inventor: Raminda Udaya Madurawe
  • Patent number: 8225259
    Abstract: A multiple-clock time-multiplexed field programmable gate array (TM-FPGA) includes programmable logic circuitry. A plurality of clock signals within the TM-FPGA couple to the programmable logic circuitry. A user's circuit can be mapped to the programmable logic circuitry without the user's intervention in mapping the circuit to the programmable logic circuitry.
    Type: Grant
    Filed: May 16, 2005
    Date of Patent: July 17, 2012
    Assignee: Altera Corporation
    Inventors: David W. Mendel, Sinan Kaptanoglu
  • Patent number: 8225243
    Abstract: A method for developing integrated circuits includes generating a core (logic core) in an HDL format readable by a logic synthesis tool, from an ASIC core (logic core) made of ports of blocks and port connection information, creating a temporary chip design from chip terminal information to generate a terminal in the temporary chip design, generating a design identical to that created, as a cell within the design created, connecting a design port with a cell port, wherein a name of the design port is identical to a name of the cell port, inserting an I/O buffer, depending on the device technology, into a net between the ports connected, replacing the cell by the core (logic core) created to gerate a netlist, and expanding a hierarchy of the design, being the top hierarchy.
    Type: Grant
    Filed: November 17, 2009
    Date of Patent: July 17, 2012
    Assignee: Fujitsu Limited
    Inventors: Chiaki Koga, Masayuki Tsuda, Akitsugu Nakayama
  • Patent number: 8219960
    Abstract: A method for configuring programmable logic in an IC to implement instances of a relocatable circuit includes, for each instance, assigning a respective portion of an address space of a processor to the instance, configuring a respective interface circuit for translating the transactions accessing the respective portion of the address space into a fixed address space of the relocatable circuit, and selecting a respective region within an array of programmable logic and interconnect resources of the IC. The processor accesses the address space with read and write transactions issued on an interface bus. The relocatable circuit is independent of the address space assigned to the instances. Each region is configurable to implement an instance. The programmable logic and interconnect resources are configured to implement the instances and to couple each instance to the interface bus of the processor via the respective interface circuit, using a single copy of configuration data for the relocatable circuit.
    Type: Grant
    Filed: April 28, 2010
    Date of Patent: July 10, 2012
    Assignee: Xilinx, Inc.
    Inventors: Stephen A. Neuendorffer, Parimal Patel
  • Patent number: 8220060
    Abstract: Approaches for protecting design information are disclosed. In one approach, a request for an IP core from an integrated circuit device is received, and the request includes identification information. An identifier range is determined from the identification information. The identifier range includes a plurality of unique device identifiers identifying a plurality of integrated circuit devices that are allowed to receive the IP core. The identifier range is downloaded to the integrated circuit device, which evaluates whether or not a unique device identifier that is stored on the integrated circuit device is within the downloaded identifier range. The IP core is programmed into the integrated circuit in response to the unique device identifier that is stored on the integrated circuit device being within the downloaded identifier range.
    Type: Grant
    Filed: April 20, 2010
    Date of Patent: July 10, 2012
    Assignee: Xilinx, Inc.
    Inventor: James M. Simkins
  • Patent number: 8214192
    Abstract: A system and method is disclosed in an emulation environment that dynamically remaps user designs. In one embodiment, a request is received to load an integrated circuit design to be emulated in a desired partition within the emulator. The emulator automatically determines the availability of the partition requested. If the partition is not available, the design is dynamically remapped to a different partition that is available. In another embodiment, clocks associated with the integrated circuit design are also dynamically remapped. In yet another embodiment, the user can control the size of the partitions (e.g., the number of printed circuit boards in a partition).
    Type: Grant
    Filed: February 27, 2008
    Date of Patent: July 3, 2012
    Assignee: Mentor Graphics Corporation
    Inventors: Eric Durand, Grégoire Brunot, Estelle Reymond, Laurent Buchard
  • Patent number: 8205180
    Abstract: A method of placing a circuit design in logic blocks of an integrated circuit is disclosed. The method comprises receiving a circuit design to be implemented in the logic blocks of the integrated circuit; determining clock skew for a clock tree providing clock signals to a plurality of memory elements of the integrated circuit; evaluating timing requirements associated with the circuit design; and transforming the circuit design to a placement configuration, wherein the placement configuration places the circuit design in the logic blocks of the integrated circuit according to the timing requirements of the circuit design and the clock skew for the clock tree.
    Type: Grant
    Filed: May 5, 2009
    Date of Patent: June 19, 2012
    Assignee: Xilinx, Inc.
    Inventors: Jason H. Anderson, Qiang Wang
  • Patent number: 8201126
    Abstract: A method for designing a system on a target device is disclosed. A first plurality of components in the system are assigned to be placed by an computer aided design (CAD) tool based on a criterion. A second plurality of components in the system are assigned to be placed by a hardware placement unit based on the criterion. Placement results from the CAD tool and the hardware placement unit are used to generate a placement solution for the system on the target device. Other embodiments are described and claimed.
    Type: Grant
    Filed: November 12, 2009
    Date of Patent: June 12, 2012
    Assignee: Altera Corporation
    Inventor: John Curtis Van Dyken
  • Patent number: 8195441
    Abstract: A system can include a bus proxy comprising a primary slave coupled to a processor via a bus. The bus proxy system can include a hardware co-simulation interface disposed within the programmable IC and coupled to the bus proxy. The hardware co-simulation interface can buffer simulation data from the bus proxy and the host processing system. The bus proxy can include a secondary slave executing with a host processing system that reads data from, and writes data to, the hardware co-simulation interface, and communicates with at least one high level modeling system (HLMS) block executing within the host processing system. The primary slave can exert a slave wait signal on the bus responsive to detecting a bus request from the processor specifying an address corresponding to the HLMS block within the host processing system.
    Type: Grant
    Filed: October 20, 2009
    Date of Patent: June 5, 2012
    Assignee: Xilinx, Inc.
    Inventors: Jingzhao Ou, Chi Bun Chan
  • Patent number: 8196075
    Abstract: A process is provided for creating an input/output (I/O) model. A set of logical I/O pins of an unplaced and unrouted circuit design is determined. Pin placement is determined for one or more of the logical I/O pins on device pins of a target device. An I/O pin profile for each of the logical I/O pins is determined. A plurality of I/O pin models available on the target device are input and an I/O pin model is selected from the plurality of I/O pin models for each of the logical I/O pins according to the respective I/O pin profiles. An I/O model is generated including each selected I/O pin model within the I/O model. The generated I/O model is stored in a processor readable storage medium.
    Type: Grant
    Filed: December 16, 2009
    Date of Patent: June 5, 2012
    Assignee: Xilinx, Inc.
    Inventors: Philippe Garrault, Jennifer D. Baldwin, Richard J. LeBlanc, Premduth Vidyanandan, Kenneth J. Stickney, Jr., Carrie L. Kisiday
  • Patent number: 8191020
    Abstract: A graphical user aid that may be used for migrating source devices, such as programmable logic designs (PLDs or FPGAs) into target devices, such as equivalent or substitute application-specific integrated circuits (“ASICs”) is provided. A device selector guide is provided for evaluating migration prospects from the source device to the target device before completing the migration.
    Type: Grant
    Filed: November 4, 2009
    Date of Patent: May 29, 2012
    Assignee: Altera Corporation
    Inventors: Steven Perry, Jinyong Yuan, Shih Yueh Lin, John R. Chase
  • Patent number: 8191021
    Abstract: A method for single event transient filtering in an integrated circuit device is described. The device comprises three sequential elements, each having a data input and a data output with each of the three data outputs coupled to one of three inputs of a voting gate. The method comprises generating first and second nominally equivalent logic signals in first and second SET domains, converting the first and second nominally equivalent logic signals into first, second and third nominally equivalent data channels, and transmitting the first, second and third nominally equivalent data channels to the data inputs of the first, second and third sequential elements. Provision is made for applying the method to logic designs implemented in programmable logic integrated circuit devices.
    Type: Grant
    Filed: January 29, 2009
    Date of Patent: May 29, 2012
    Assignee: Actel Corporation
    Inventor: Sana Rezgui
  • Patent number: 8191025
    Abstract: An embodiment of the present invention provides a programmable logic device (“PLD”) including a redundancy architecture adapted to selective route signals via first or second staggered vertical lines. Other embodiments provide configuration logic and programs for determining routing selections. Other embodiments provide proximate grouping of vertical lines driven from a same row. Other embodiments provide definition of spare row locations once defective row locations are known.
    Type: Grant
    Filed: September 1, 2009
    Date of Patent: May 29, 2012
    Assignee: Altera Corporation
    Inventors: Michael Chan, Paul Leventis, David Lewis, Ketan Zaveri, Hyun Mo Yi, Chris Lane
  • Patent number: 8185857
    Abstract: A signal converter device has a programmable logic circuit, wherein a number of binary input signals are being transmitted from the outside of the signal converter unit. The programmable logic circuit is programmed by a programming in such a way, as to detect binary output signals from a number of logic functions. The output signals are output by the signal converter device to the outside. The logic functions are designed in such a manner, that the output signals are determined exclusively by logic associations of the input signals. The output signals are at least partially transmitted to drives. Programming is in such a way, that for at least two drives, output signals to be emitted to the drives are determined uniformly.
    Type: Grant
    Filed: August 8, 2007
    Date of Patent: May 22, 2012
    Assignee: Siemens Aktiengesellschaft
    Inventors: Ulrich Hahn, Jürgen Lange, Rolf-Dieter Pavlik
  • Patent number: 8183881
    Abstract: Method and apparatus for using configuration memory for buffer memory is described. Drivers associated with a portion of the configuration memory are rendered incapable of creating a contentious state irrespective of information stored the portion of configuration memory. Configuration data is received in a non-configuration data format and buffered in the portion of the configuration memory.
    Type: Grant
    Filed: March 29, 2004
    Date of Patent: May 22, 2012
    Assignee: Xilinx, Inc.
    Inventors: Benjamin J. Stassart, Stephen M. Trimberger
  • Patent number: 8176457
    Abstract: An FPGA-information managing unit included in a circuit-designing CAD apparatus retrieves FPGA information, such as pin-assignment information and attribute information, that is created by an FPGA-designing CAD apparatus. A library creating unit creates a symbol library by using the FPGA information. A pin-swap processing unit retrieves pin swap information from a package-designing CAD apparatus, and reflect the pin swap in the symbol library, the FPGA information, a circuit diagram, and a constrained condition.
    Type: Grant
    Filed: September 24, 2007
    Date of Patent: May 8, 2012
    Assignee: Fujitsu Limited
    Inventors: Yoshiyuki Kato, Hisashi Aoyama
  • Patent number: 8176212
    Abstract: A method and system for the flexible sizing of behavior containers on a reconfigurable computing resource through the use of hierarchically nested as well as joinable and separable containers is provided.
    Type: Grant
    Filed: August 18, 2010
    Date of Patent: May 8, 2012
    Assignee: Quickflex, Inc.
    Inventors: Steven P. Smith, Justin Braun
  • Patent number: 8171443
    Abstract: Computer-aided-design tools are provided that support real-time phase-locked loop reconfiguration with a single design compilation. Each design compilation may involve operations such as logic synthesis and place and route operations. A circuit designer who is designing an integrated circuit may supply circuit design data. The circuit design data may include design data for multiple configurations of a phase-locked loop. By using a phase-locked loop scan chain initialization file generator engine located in a CAD tool design input wizard, the computer-aided-design tools may produce multiple phase-locked loop initialization files without performing a design compilation. The CAD tools may process one or more initialization files and the circuit design data to produce output data. The output data may include configuration data to implement the circuit design.
    Type: Grant
    Filed: May 18, 2011
    Date of Patent: May 1, 2012
    Assignee: Altera Corporation
    Inventors: Ian Eu Meng Chan, Kumara Tharmalingam
  • Patent number: 8171436
    Abstract: System and method for developing an ASIC. A software program may be stored which includes program instructions which implement a function. The software program may be executed on a processing system at a desired system speed and may be validated based on the execution. A first hardware description of at least a portion of the processing system may be stored and may specify implementation of at least a portion of the processing system. A second hardware description may be generated that corresponds to a first portion of the first hardware description. The second hardware description may specify a dedicated hardware implementation of a first portion of the software program executing on the processing system. Generation of the second hardware description may be performed one or more times to fully specify the ASIC. An ASIC may be created which implements the function of the software program.
    Type: Grant
    Filed: May 18, 2011
    Date of Patent: May 1, 2012
    Assignee: Coherent Logix, Incorporated
    Inventor: Tommy K. Eng
  • Patent number: 8166437
    Abstract: In an embodiment, a method to automatically generate a pad ring for a programmable logic device implementation of an integrated circuit is contemplated. The pad ring that will be used in the integrated circuit itself may include pad logic (e.g. to support boundary scan and other forms of testing), custom driver/receiver circuitry, etc. The pad ring in the programmable logic device, on the other hand, may be predetermined as part of the production of the programmable logic device. The generation may include removing the pad logic and other pad-related circuitry from one or more design files that represent the integrated circuit, as well as mapping the input, output, and input/output signals of the integrated circuit to the available programmable logic device pads.
    Type: Grant
    Filed: December 15, 2009
    Date of Patent: April 24, 2012
    Assignee: Apple Inc.
    Inventor: Chih-Ang Chen
  • Patent number: 8166435
    Abstract: Some embodiments provide a method that identifies a first physical design solution for positioning several configurable operations on several reconfigurable circuits of an integrated circuit (IC). The method identifies a second physical design solution for positioning the configurable operations on the configurable circuits. One of the identified physical design solutions has one reconfigurable circuit perform a particular configurable operation in at least two reconfiguration cycles while the other identified solution does not have one reconfigurable circuit perform the particular configurable operation in two reconfiguration cycles. The method costs the first and second physical design solutions. The method selects one of the two physical design solutions based on the costs.
    Type: Grant
    Filed: June 26, 2008
    Date of Patent: April 24, 2012
    Assignee: Tabula, Inc.
    Inventors: Steven Teig, Andrew Caldwell
  • Patent number: 8161450
    Abstract: An integrated circuit includes a buck converter controller, a PFET, an NFET that is coupled in common drain configuration to the PFET, a first microbump that is connected to the source of the PFET, a second microbump that is connected to the source of the NFET, a third microbump that is connected to the common drain node, a fourth microbump that is connected to a feedback input lead of the controller, and a plurality of other microbumps. The other microbumps are utilized to supply signals to and/or to conduct signals from the controller. A respective one of the four microbumps is disposed to occupy a respective one of the four corners of a square pattern. The other microbumps are disposed in a regular grid along with the four microbumps, but none of the other microbumps is disposed between any two of the four microbumps.
    Type: Grant
    Filed: July 2, 2009
    Date of Patent: April 17, 2012
    Assignee: Active-Semi, Inc.
    Inventors: Steven Huynh, David J. Kunst
  • Patent number: 8161444
    Abstract: Methods and apparatus are provided for implementing a programmable chip using a high-level language. Code sequences or subroutines provided in a high-level language are overloaded with information to specify the number of hardware resources such as logic elements or functional blocks used to implement the code on a programmable chip. Code sequences remain compliant with standard high-level language compilers while also being able to provide resource count information to high-level language to hardware compilers.
    Type: Grant
    Filed: April 9, 2009
    Date of Patent: April 17, 2012
    Assignee: Altera Corporation
    Inventor: Jeffrey Orion Pritchard
  • Patent number: 8161438
    Abstract: Various methods for analyzing mutual inductance in an integrated circuit layout are disclosed. In one exemplary embodiment, for example, circuit design information indicative of a first inductor and a second inductor is received. A dipole moment associated with the first inductor is determined, where the magnetic field associated with the dipole moment is representative of magnetic fields created by respective turns in the first inductor. A mutual inductance between the first inductor and the second inductor is determined by determining a magnetic flux of the magnetic field of the dipole moment through surfaces bounded by respective wire segments of the second inductor.
    Type: Grant
    Filed: May 16, 2006
    Date of Patent: April 17, 2012
    Assignee: Mentor Graphics Corporation
    Inventors: Roberto Suaya, Rafael Escovar, Salvador Ortiz
  • Patent number: 8156455
    Abstract: Methods and apparatus are provided for implementing parameterizable processor cores and peripherals on a programmable chip. An input interface such as a wizard allows selection and parameterization of processor cores, peripherals, as well as other modules. The logic description for implementing the modules on a programmable chip can be dynamically generated, allowing extensive parameterization of various modules. Dynamic generation also allows the delivery of device driver logic onto a programmable chip. The logic description can include information for configuring a dynamically generated bus module to allow connectivity between the modules as well as connectivity with other on-chip and off-chip components. The logic description, possibly comprising HDL files, can then be automatically synthesized and provided to tools for downloading the logic description onto a programmable chip.
    Type: Grant
    Filed: October 21, 2005
    Date of Patent: April 10, 2012
    Assignee: Altera Corporaton
    Inventors: Tim Allen, Michael Fairman, Jeffrey Orion Pritchard, Bryan Hoyer
  • Patent number: 8151235
    Abstract: A method, apparatus, article of manufacture, and a memory structure for camouflaging an application specific integrated circuit (ASIC), wherein the ASIC comprises a plurality of interconnected functional logic cells. In one embodiment, the method comprises the steps of identifying at least one gap between the plurality of interconnected functional logic cells having no functional logic therein, placing one filler cell or combination of filler cells into the identified gap and defining a routing of the placed filler cells.
    Type: Grant
    Filed: February 24, 2009
    Date of Patent: April 3, 2012
    Assignee: SypherMedia International, Inc.
    Inventors: Lap Wai Chow, James P. Baukus, Bryan J. Wang, Ronald P. Cocchi
  • Patent number: 8146040
    Abstract: A method of evaluating an architecture for an integrated circuit device is disclosed. The method comprises generating a library of primitives for a predetermined architecture; transforming an original dataflow program into an intermediate format; transforming the intermediate format to a dataflow program defined in terms of the predefined library of primitives; and generating an implementation profile comprising information related to an implementation of the original dataflow program in an integrated circuit having the predetermined architecture. A method of evaluating an architecture for an integrated circuit device is also disclosed.
    Type: Grant
    Filed: June 11, 2009
    Date of Patent: March 27, 2012
    Assignee: Xilinx, Inc.
    Inventors: Jorn W. Janneck, David B. Parlour, Ian D. Miller
  • Patent number: 8146041
    Abstract: A computer-implemented method of implementing a circuit design within a programmable logic device can include selecting at least one circuit element of the circuit design. The selected circuit element can be converted to a latch. A timing analysis can be performed upon the circuit design after conversion of the selected circuit element to a latch. A determination can be made by a computer as to whether the timing of the circuit design improves and the conversion of the selected circuit element to a latch can be accepted when the timing of the circuit design improves. The circuit design can be output.
    Type: Grant
    Filed: July 12, 2011
    Date of Patent: March 27, 2012
    Assignee: Xilinx, Inc.
    Inventors: Sankaranarayanan Srinivasan, Sridhar Krishnamurthy, Brian D. Philofsky, Kamal Chaudhary, Anirban Rahut
  • Patent number: RE43378
    Abstract: A method for mapping an electronic digital circuit to a Look Up table (LUT) based Programmable Logic Deviceoperates by selecting an unmapped or partially mapped LUT, and identifying a group of circuit elements for mapping on the selected LUT based on the available capacity of the selected LUT and the mapping constraints. The identified circuit elements are mapped onto the selected LUT. The identification of circuit elements and mapping is carried out while taking into consideration the Cascade Logic associated with the selected LUT. The process continues until all circuit elements have been mapped. The group of circuit elements is mapped to the cascade logic prior to mapping on the LUTs. Conversely, the cascade logic is incorporated only after all circuit elements have initially been mapped onto LUTs or some elements remain unmapped after all LUTs have been utilized. The mapping constraints include timing, placement, and size constraints.
    Type: Grant
    Filed: October 17, 2008
    Date of Patent: May 8, 2012
    Assignee: Sicronic Remote KG, LLC
    Inventor: Sunil Kumar Sharma
  • Patent number: RE43393
    Abstract: A system for creating an adaptive computing engine (ACE) includes algorithmic elements adaptable for use in the ACE and configured to provide algorithmic operations, and provides mapping of the algorithmic operations to heterogeneous nodes. The mapping is for initially configuring the heterogeneous nodes to provide appropriate hardware circuit functions that perform algorithmic operations. A reconfigurable interconnection network interconnects the heterogeneous nodes. The mapping includes selecting a combination of ACE building blocks from the ACE building block types for the appropriate hardware circuit functions. The system and corresponding method also includes utilizing the algorithmic operations for optimally configuring the heterogeneous nodes to provide the appropriate hardware circuit function.
    Type: Grant
    Filed: July 16, 2009
    Date of Patent: May 15, 2012
    Assignee: QST Holdings, LLC
    Inventor: Paul L. Master