Constraint-based Patents (Class 716/122)
  • Publication number: 20120078604
    Abstract: Various embodiments provide an integrated circuit (IC) design method and design kit for reducing context variations through design rule restrictions. The design method can be applied to components (e.g., analog blocks) with a context variation in an IC design. By drawing a cover layer over such components, context-variation-reduction design rule restrictions can be applied to reduce the context variations.
    Type: Application
    Filed: September 23, 2010
    Publication date: March 29, 2012
    Inventors: Gregory Charles Baldwin, Younsung Choi, Oluwamuyiwa Oluwagbemiga Olubuyide
  • Publication number: 20120079445
    Abstract: A circuit board designing device has a database that stores an another-component arrangement forbidden range table, a related component information table, and a relative-arranging position table, and a processing unit that executes arrangement of the components, determines the another-component arrangement forbidden range which is set to forbid arrangement of another component in the predetermined range on the basis of the arranging position of the basic component with reference to the another-component arrangement forbidden range table when arrangement of the basic component is instructed, acquires related component information corresponding to the related component to be combined with the basic components with reference to the related component information table, acquires a relative-arranging position of the related component from the relative-arranging position table on the basis of the acquired related component information, and sets the acquired related component in the another-component arrangement forb
    Type: Application
    Filed: August 23, 2011
    Publication date: March 29, 2012
    Applicant: FUJITSU LIMITED
    Inventors: Masato Ariyama, Kazuhiro Sakai
  • Patent number: 8146033
    Abstract: A monitor position determining apparatus includes an acquiring unit that acquires design data concerning circuit elements arranged in a layout of a semiconductor device and for each of the circuit elements, yield sensitivity data indicative of a percentage of change with respect to a yield ratio of the semiconductor device; a selecting unit that selects, based on the yield sensitivity data, a circuit element from a circuit element group arranged in the layout; a determining unit that determines an arrangement position in the layout to be an installation position of a monitor that measures a physical amount in the semiconductor device in a measurement region, the arrangement position being of the circuit element that is specified from the design data acquired by the acquiring unit and selected by the selecting unit; and an output unit that outputs the installation position determined by the determining unit.
    Type: Grant
    Filed: March 23, 2009
    Date of Patent: March 27, 2012
    Assignee: Fujitsu Limited
    Inventor: Katsumi Homma
  • Patent number: 8146041
    Abstract: A computer-implemented method of implementing a circuit design within a programmable logic device can include selecting at least one circuit element of the circuit design. The selected circuit element can be converted to a latch. A timing analysis can be performed upon the circuit design after conversion of the selected circuit element to a latch. A determination can be made by a computer as to whether the timing of the circuit design improves and the conversion of the selected circuit element to a latch can be accepted when the timing of the circuit design improves. The circuit design can be output.
    Type: Grant
    Filed: July 12, 2011
    Date of Patent: March 27, 2012
    Assignee: Xilinx, Inc.
    Inventors: Sankaranarayanan Srinivasan, Sridhar Krishnamurthy, Brian D. Philofsky, Kamal Chaudhary, Anirban Rahut
  • Patent number: 8146042
    Abstract: An approach is provided for selectively optimizing a circuit design to be physical implemented. The approach includes generating a circuit routing solution in accordance with a plurality of constraints for parametric resources of the circuit design, with the constraints being defined respectively by a plurality of corresponding constraint instances. Each constraint instance variably indicates an effective constraining limit and degree of consumption for at least one of the parametric resources. At least one of the constraints is selectively adjusted by a predetermined over-constraining amount, and the circuit routing solution is preliminarily modified by applying at least one routing action selected responsive to the constraint adjustment. An automatic evaluation is then made of the potential impact upon constraint compliance.
    Type: Grant
    Filed: November 16, 2009
    Date of Patent: March 27, 2012
    Assignee: Cadence Design Systems, Inc.
    Inventors: Ken Wadland, Richard Woodward, Randall Lawson, Greg Horlick
  • Patent number: 8141023
    Abstract: A congestive placement preventing apparatus, applied in a logic circuit layout having 2K logic circuits, where K is a positive integer, is provided. The congestive placement preventing apparatus includes a restructuring module and a synthesizing module. The restructuring module adds a selecting unit in the logic circuit layout, and adds (N?K) buffers in each of the 2K logic circuits, where N is a positive integer. The synthesizing module synthesizes the restructured logic circuit layout according to a plurality of “don't touch” synthesizing commands associated with the added buffers. In the synthesized logic circuit layout, all of the 2K logic circuits are independent and not coupled or merged with one another.
    Type: Grant
    Filed: July 13, 2009
    Date of Patent: March 20, 2012
    Assignee: MStar Semiconductor, Inc.
    Inventors: Chen-Hsing Lo, Chien-Pang Lu
  • Patent number: 8141018
    Abstract: A method for designing a system to be implemented on a target device includes computing slack potential of paths between components on the target device after timing analysis. A graphical representation of the slack potential and slack for the paths is generated. The graphical representation identifies that a design change is required for a first portion of the system associated with a first path and that a change in placement is required for a second portion of the system associated with the second path.
    Type: Grant
    Filed: January 14, 2009
    Date of Patent: March 20, 2012
    Assignee: Altera Corporation
    Inventor: Przemek Guzy
  • Patent number: 8141020
    Abstract: Block placement within each device-containing layer is optimized under the constraint of a simultaneous optimization of interlayer connectivity between the device-containing layer and immediately adjacent device-containing layers. For each functional block within the device-containing layer, lateral heat flow is calculated to laterally adjacent functional blocks. If the lateral heat flow is less than a threshold value for a pair of adjacent functional blocks, placement of the functional blocks and/or interlayer interconnect structure array therebetween or modification of the interlayer interconnect structure array is performed. This routine is repeated for all adjacent pairs of functional blocks in each of the device-containing layers. Subsequently, block placement within each device-containing layer may be optimized under the constraint of a simultaneous optimization of interlayer connectivity across all device-containing layers.
    Type: Grant
    Filed: June 29, 2009
    Date of Patent: March 20, 2012
  • Patent number: 8141022
    Abstract: A hierarchical design apparatus 1 for a semiconductor integrated circuit includes a hierarchical block placing unit 1-02 which places sets of hierarchical blocks onto a chip; a hierarchical block terminal placing unit 1-03 which places terminals of the hierarchical blocks so that for sets of hierarchical blocks having the same function, the hierarchical blocks coincide with each other in a coordinate of the corresponding terminal; an intra-hierarchical block layout unit 1-06 which executes the individual types of intra-hierarchical-block layout designs, meanwhile executes only a single type of intra-hierarchical-block layout design for the sets of hierarchical blocks having the same function; and a chip layout finishing unit 1-07 which replicates thus-obtained layout patterns, and thereby completing a layout design over the entire chip.
    Type: Grant
    Filed: July 5, 2007
    Date of Patent: March 20, 2012
    Assignee: NEC Corporation
    Inventor: Takumi Okamoto
  • Publication number: 20120066659
    Abstract: Methods for generating a device layout are provided. First, design rules corresponding to a specific technology are received. A selection of at least one element and a parameter value corresponding to at least one parameter on the selected element are received. A draft device layout corresponding to the selected element is generated by a device generator by referencing the parameter value and the design rules. A script is then executed to modify the draft device layout to generate an updated device layout. The script includes at least one command, and when the script is executed, the at least one command is performed to modify the parameter value of the at least one parameter of the selected element and cause the device generator to delete the old draft device layout and generate a new draft device layout by referencing the modified parameter value and the design rules.
    Type: Application
    Filed: July 22, 2011
    Publication date: March 15, 2012
    Applicants: SPRINGSOFT USA, INC., SPRINGSOFT INC.
    Inventors: Chih-Hung Chen, Wen-Hao Yu, Shyh-An Tang
  • Publication number: 20120066658
    Abstract: A system for selecting gates for an integrated circuit design may include at least one processing device configured to identify gates of the integrated circuit design having a slack value less than a predefined slack threshold. The at least one processing device may be further configured to, for each of the identified gates, determine (i) a number of nodes of the integrated circuit design that experience a timing slack improvement if the gate is swapped with an alternative implementation having a reduced delay or (ii) a sum of timing slack improvements experienced by nodes of the integrated circuit design if the gate is swapped with the alternative implementation having a reduced delay. The at least one processing device may still be further configured to swap the gate with the alternative implementation having the reduced delay if the determined number or sum is greater than a corresponding predetermined threshold.
    Type: Application
    Filed: September 13, 2010
    Publication date: March 15, 2012
    Applicant: ORACLE INTERNATIONAL CORPORATION
    Inventors: Salim U. Chowdhury, Georgios Konstadinidis
  • Publication number: 20120056605
    Abstract: An integrated circuit device for a switching regulator, includes: a controller configured to generate a digital duty signal for a current mode control of the switching regulator based on an output voltage to be supplied from the switching regulator to a load circuit; and a switching pulse generating section configured to set a time ratio of a switching pulse signal for controlling turning-on and turning-off of a switching circuit which is provided in the switching regulator, based on the digital duty signal. The controller is a digital circuit which operates based on a master clock of the same frequency as a switching frequency of the switching circuit.
    Type: Application
    Filed: September 6, 2011
    Publication date: March 8, 2012
    Applicant: Renesas Electronics Corporation
    Inventor: Hiroyuki OOBA
  • Publication number: 20120054709
    Abstract: A method includes determining a mapping between model parameters and electrical parameters of integrated circuits. The model parameters are configured to be used by a simulation tool. A set of electrical parameters is provided, and the mapping is used to map the set of electrical parameters to a set of model parameters.
    Type: Application
    Filed: August 30, 2010
    Publication date: March 1, 2012
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Ming Tsai, Ke-Wei Su, Cheng Hsiao, Min-Chie Jeng, Jia-Lin Lo, Feng-Ling Hsiao, Yi-Shun Huang
  • Publication number: 20120054708
    Abstract: A global placer receives a plurality of regions, each region occupying a sub-area of a design area. receives a plurality of movebound objects, each movebound object associated with a region. The global placer receives a plurality of unconstrained objects, each unconstrained object associated with no region. The global placer receives a tolerance, wherein the placement tolerance defines a coronal fringe to at least one region. The global placer initially placing the plurality of movebound objects and unconstrained objects. The global placer iterates over objects without preference to region-affiliation to select an object, wherein the objects are comprised of the plurality of movebound objects and plurality of unconstrained objects. The global placer determines whether movebound object is within the tolerance of a region associated with the movebound object.
    Type: Application
    Filed: August 27, 2010
    Publication date: March 1, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Charles J. Alpert, John L. McCann, Gi-Joon Nam, Shyam Ramji, Taraneh Taghavi, Natarajan Viswanathan
  • Patent number: 8127263
    Abstract: Improving routability of an integrated circuit (IC) design without impacting the area is described. A local region of congestion of an IC design is determined according to a design parameter. A cell with a specified level of complexity is identified within the local region of congestion. An alternative cell is algorithmically created with a same logic function as the cell by adding an access point to the alternative cell. The cell is then replaced with the alternative cell within the local region of congestion.
    Type: Grant
    Filed: February 3, 2009
    Date of Patent: February 28, 2012
    Assignee: Texas Instruments Incorporated
    Inventors: Pavan Vithal Torvi, Girishankar Gurumurthy, Dharin N Shah, Ajith Harihara Subramonia
  • Patent number: 8122416
    Abstract: An arrangement verification apparatus that makes it possible to shorten a time it takes to complete a failure/no-failure test on the arrangement of control circuits that control block circuits is provided. The arrangement verification apparatus arranges block circuits to be controlled comprising a semiconductor device and control circuits that control the block circuits over a predetermined floor and conducts a failure/no-failure test on the arrangement of the control circuits.
    Type: Grant
    Filed: March 10, 2009
    Date of Patent: February 21, 2012
    Assignee: Renesas Electronics Corporation
    Inventors: Ken Saito, Wataru Uchida
  • Patent number: 8122415
    Abstract: An integrated circuit (IC) and fabrication method thereof is provided that include the steps of specifying a plurality of required tile modules suitable for a particular end application, each of the modular tiles being configured to perform a predetermined function and constructed to have approximately the same length and width dimensions. The modular tiles are used to form the IC in a standard IC fabrication process. In many implementations, physical layout of the IC does not include the step of routing. Capabilities also include configuring the modular tiles to have programmable performance parameters and configuring the modular tiles to cooperate usefully with one another based on a programmable parameter.
    Type: Grant
    Filed: January 3, 2009
    Date of Patent: February 21, 2012
    Assignee: Active-Semi, Inc.
    Inventors: Steven Huynh, David Kunst
  • Patent number: 8122418
    Abstract: A layout apparatus stores a plurality of capacitor cells which are classifiable into a first classification for identifying capacitor cells having different sizes by frequency characteristic correlating with gate width of a capacitor and a second classification for identifying capacitor cells having different frequency characteristics by cell size.
    Type: Grant
    Filed: October 5, 2009
    Date of Patent: February 21, 2012
    Assignee: NEC Corporation
    Inventor: Kohei Uchida
  • Patent number: 8122417
    Abstract: An integrated circuit (IC) and fabrication method thereof is provided that include the steps of specifying a plurality of required tile modules suitable for a particular end application, each of the modular tiles being configured to perform a predetermined function and constructed to have approximately the same length and width dimensions. The modular tiles are used to form the IC in a standard IC fabrication process. In many implementations, physical layout of the IC does not include the step of routing. Capabilities also include configuring the modular tiles to have programmable performance parameters and configuring the modular tiles to cooperate usefully with one another based on a programmable parameter.
    Type: Grant
    Filed: May 19, 2009
    Date of Patent: February 21, 2012
    Assignee: Active-Semi, Inc.
    Inventors: Steven Huynh, David Kunst
  • Patent number: 8117582
    Abstract: Disclosed is a method for placing dummy patterns in a semiconductor device layout. More specifically, the method places the dummy patterns densely between main patterns in accordance with a sequence and configuration. The method includes placing vertical dummies having a greater length than width in a region other than main patterns to form a first layout, removing the vertical dummies within a first distance from the main patterns to form a second layout, placing horizontal dummies having a greater length than width in a vacant space of the second layout to form a third layout, and removing the horizontal dummies within a second distance from the main patterns in the third layout. The method prevents and/or inhibits pattern deformation.
    Type: Grant
    Filed: July 30, 2008
    Date of Patent: February 14, 2012
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Yong Geun Lee
  • Patent number: 8117581
    Abstract: A method of filling dcaps in an integrated circuit includes identifying a set of dcap-eligible areas of the integrated circuit for areas large enough to accommodate at least one dcap cell having a selected size smaller than a default size. The dcap cell includes at least one built-in power track. A set of dcap cells are filled in the identified set of dcap-eligible areas. Each of the built-in power tracks included in the set of dcap cells is connected to a corresponding power grid. An integrated circuit including a power grid channel formed between at least two power grids and a plurality of dcaps including a first dcap included in a dcap cell, the dcap cell including built-in power tracks, each one of the built-in power tracks being connected to a corresponding one of the at least two power grids is also described.
    Type: Grant
    Filed: June 7, 2006
    Date of Patent: February 14, 2012
    Assignee: Oracle America, Inc.
    Inventor: Mu-Jing Li
  • Patent number: 8117585
    Abstract: A system and method for testing size of vias reads a component group from a storage system and reads a via size of each via in the component group. If the via size of a via accords with a standard size corresponding to the component group, the via is determined as a qualified via. If the via size of a via does not accord with the standard size, the via is determined as an unqualified via. The unqualified via is highlighted on a printed circuit board (PCB) design map displayed on a display.
    Type: Grant
    Filed: December 29, 2009
    Date of Patent: February 14, 2012
    Assignee: Hon Hai Precision Industry Co., Ltd.
    Inventor: Chun-Shan Hsiao
  • Publication number: 20120036491
    Abstract: Assigning pins to macro-blocks of an Integrated Circuit (IC) chip is described. The macro-block pin assignments are automatically placed using Constraint Programming (CP) techniques to position the pins on the periphery of a macro-block. Bus-ordering and pin-spacing constraints are performed considering all the nets forming the IC design simultaneously. The CP formulation includes modeling detailed and discrete constraints required to achieve an optimal pin-assignment. A stochastic CSP solver is used to define the cost function on search points giving full assignments to all the variables. The macro-block pins are ultimately moved to computed locations.
    Type: Application
    Filed: August 4, 2010
    Publication date: February 9, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Shyam Ramji, Bella Dubrov, Eran Haggai, Ari Freund, Edward F. Mark, Timothy A. Schell
  • Patent number: 8108822
    Abstract: A structure, apparatus and method for circuits to minimize sensitivity to latch. The method includes, for example, identifying element density of at least one functional circuit block and element attributes of elements associated with the at least one functional circuit block. An element density function parameterized from the element attributes is formed. The placement of the at least one functional circuit block is modified relative to other functional circuit blocks based on the element density function to substantially eliminate latching effects in a circuit.
    Type: Grant
    Filed: May 21, 2008
    Date of Patent: January 31, 2012
    Assignee: International Business Machines Corporation
    Inventor: Steven H. Voldman
  • Patent number: 8108819
    Abstract: A method, system, and computer usable program product for an improved object placement in integrated circuit design are provided in the illustrative embodiments. The IC design includes cells, the cells including electronic components, wires, and pins defined for interconnections of the IC. An initial placement corresponding to the design is received. A characteristic of the initial placement is estimated, which may include congestion, pin density, or both in an area of the initial placement. A transformation is performed on a part of the initial placement including the area to improve the characteristic. If the characteristic has improved in the transformed placement, a final placement corresponding to the transformed placement is produced. The transformation may be any combination of resizing an object, weighting a connection, clustering a plurality of objects, shortening of a route taken by a wire, and straightening a bend in a wire in the initial placement.
    Type: Grant
    Filed: April 8, 2009
    Date of Patent: January 31, 2012
    Assignee: International Business Machines Corporation
    Inventors: Charles Jay Alpert, Gi-Joon Nam, Jarrod Alexander Roy, Natarajan Vishvanathan
  • Publication number: 20120023472
    Abstract: Disclosed are a method, system, and computer program product for providing customizable information in designing electronic circuits with electrical awareness. The method or the system displays a portion of a physical design of an electronic circuit in a first display area. The method or the system receives or identifies a user's or a system's manipulation of the portion of the physical design of the electronic circuit. The method or the system then determines and displays an in situ response to the manipulation in the first display area. The method or the system may further display, in the first display area or in another display area, result(s) relating to the physical data of a component, electrical parasitic(s) associated with the physical data, electrical characteristic(s) associated with the physical data or the electrical characteristic(s), or other element(s) of the physical design that is impacted by the manipulation.
    Type: Application
    Filed: December 30, 2010
    Publication date: January 26, 2012
    Inventors: Ed FISCHER, David WHITE, Michael MCSHERRY, Bruce YANAGIDA
  • Patent number: 8099694
    Abstract: In an example embodiment, an EDA program receives input which includes a selection as to an FPGA die and its device package and a selection as to a structured ASIC die and its device package. If the I/O pins on the device package for the FPGA differ from the I/O pins on the device package for the structured ASIC, the EDA program determines a correspondence between the I/O pins on the two device packages (e.g., by identifying the location of the pads for I/O pins on the structured ASIC die and/or creating a virtual structured ASIC device package whose I/O pins are a superset of the I/O pins on the selected structured ASIC device package), which determination includes checking rules for resource assignments. The EDA program then stores the determined correspondence in a device database where the determined correspondence can be accessed by CAD algorithms.
    Type: Grant
    Filed: April 15, 2009
    Date of Patent: January 17, 2012
    Assignee: Altera Corporation
    Inventors: Jiunn Wen Chan, James G. Schleicher, II, Kamal Patel
  • Patent number: 8091061
    Abstract: A microcomputer provided on a rectangular semiconductor board has memory interface circuits. The memory interface circuits are separately disposed in such positions as to extend along the peripheries of the semiconductor board on both sides from one corner as a reference position. In this case, limitations to size reduction imposed on the semiconductor board can be reduced compared with a semiconductor board having memory interface circuits only on one side. Respective partial circuits on each of the separated memory interface circuits have equal data units associated with data and data strobe signals. Thus, the microcomputer has simplified line design on a mother board and on a module board.
    Type: Grant
    Filed: December 28, 2006
    Date of Patent: January 3, 2012
    Assignee: Renesas Electronics Corporation
    Inventors: Takafumi Betsui, Naoto Taoka, Motoo Suwa, Shigezumi Matsui, Norihiko Sugita, Yoshiharu Fukushima
  • Patent number: 8091059
    Abstract: A method for cell placement in an integrated circuit design that uses a calculated diffusion velocity determined from a density value in order to relocate the cells until the cell placement reduces the density below a predetermined threshold. The method acts to control the movement of different cells to reduce the density of the cells prior to legalization of the cell placement.
    Type: Grant
    Filed: November 4, 2008
    Date of Patent: January 3, 2012
    Assignee: International Business Machines Corporation
    Inventors: Charles J Alpert, Haxoing Ren, Paul Gerard Villarubia
  • Publication number: 20110320998
    Abstract: A system may include a database configured to store information including characteristics of a plurality of components. The system may further include a server in communication with the database and configured to receive design parameters indicative of characteristics of an LED lighting solution; determine a plurality of LED lighting array designs, each design including at least one of a parallel and a series arrangement of LEDs and configured to provide an amount of light specified by the design parameters; for each one of at least a subset of the plurality of LED lighting array designs, determine an LED driver design configured to power the one of the LED lighting array designs; and generate at least one LED lighting solution, each LED lighting solution including one of the LED lighting array designs combined with one of the LED driver designs configured to power the one of the LED lighting arrays.
    Type: Application
    Filed: June 27, 2011
    Publication date: December 29, 2011
    Inventors: Jeffrey R. Perry, Dien Mac, Khanh Vo, Shrikrishna Srinivasan, Kristen Elserougi Kawar, Phil Gibson
  • Patent number: 8086979
    Abstract: A PMOS device can be designed and manufactured in accordance with the invention to locate its drain junction breakdown point and maximum impact ionization point to reduce or eliminate drain breakdown voltage walk-in. In some embodiments, the drain junction breakdown point and maximum impact ionization point are located sufficiently far from the gate that the device exhibits no significant drain breakdown voltage walk-in. The device can be a high voltage power transistor having an extended drain region including a P-type lightly doped drain (P-LDD) implant, with drain junction breakdown and maximum impact ionization points appropriately located by controlling the implant dose employed to produce the P-LDD implant.
    Type: Grant
    Filed: June 9, 2009
    Date of Patent: December 27, 2011
    Assignee: National Semiconductor Corp.
    Inventors: Douglas Brisbin, Andrew Strachan
  • Publication number: 20110314435
    Abstract: There is described a method for creating a thermally-isolated microstructure on a slab of mono-crystalline silicon which uses a hybrid dry-then-wet etch technique that when controlled, can produce microstructures without any silicon adhering underneath, microstructures having small masses of silicon adhering underneath, and microstructures that are still attached to the slab of mono-crystalline silicon via a waisted silicon body. When creating the microstructures with a waisted silicon body, the thermal isolation of the microstructure can be designed by controlling the depth of the etching and the size of the waist.
    Type: Application
    Filed: April 21, 2011
    Publication date: December 22, 2011
    Inventors: Leslie M. LANDSBERGER, Oleg GRUDIN, Jens URBAN, Uwe SCHWARZ
  • Patent number: 8078998
    Abstract: Integrated circuits and methods of manufacture and design thereof are disclosed. For example, a method of manufacturing includes using a first mask to pattern a gate material forming a plurality of first and second features. The first features form gate electrodes of the semiconductor devices, whereas the second features are dummy electrodes. Based on the location of these dummy electrodes, selected dummy electrodes are removed using a second mask. The use of the method provides greater flexibility in tailoring individual devices for different objectives.
    Type: Grant
    Filed: July 20, 2010
    Date of Patent: December 13, 2011
    Assignee: Infineon Technologies AG
    Inventors: Henning Haffner, Manfred Eller, Richard Lindsay
  • Patent number: 8079011
    Abstract: A printed circuit board includes a group of pads suitable to be soldered to a respective group of solder-balls of a device. Each pad of the group has a crack initiation point on its perimeter at a location where cracks in a solder-ball are anticipated to start after that solder-ball is soldered to that pad. For a pad of that group having a microvia located therein, a center of that microvia is located farther than a center of that pad from its crack initiation point. For a pad of that group having a trace merging along a portion of its perimeter, that portion does not include a vicinity of that crack initiation point.
    Type: Grant
    Filed: December 12, 2007
    Date of Patent: December 13, 2011
    Assignee: Intel Corporation
    Inventors: Cheng Siew Tay, Wendy Chet Ming Ngoh, Choi Keng Chan
  • Patent number: 8079008
    Abstract: A high-speed, low leakage-power Standard Cell Library is provided. The high-speed, low-leakage-power Standard Cell Library provides the extra drive-strength of a taller X-Track library (e.g., 14-Track library) and low leakage-power comparable to that of a smaller, N-Track library (e.g., 10-Track library). The high-speed, low leakage-power Standard Cell Library includes a set of cells each having a device area designed to provide maximum drive strength for the cell. The high-speed, low leakage-power Standard Cell Library further includes a second set of cells having varying device areas that provide reduced leakage power characteristics comparable to cells in the smaller, N-Track library. The modified reduced leakage-power cells are formed by adding padding to the cell to achieve a desired leakage-power characteristic of the cell.
    Type: Grant
    Filed: March 31, 2008
    Date of Patent: December 13, 2011
    Assignee: Broadcom Corporation
    Inventors: Paul Penzes, Alvin Lin, Vafa James Rakshani
  • Publication number: 20110302546
    Abstract: Some embodiments of the present invention provide techniques and systems for reducing the number of scenarios over which a circuit design is optimized. Each scenario in the set of scenarios can be associated with a process corner, an operating condition, and/or an operating mode. During operation, the system can receive a set of scenarios over which the circuit design is to be optimized. Next, the system can compute values of constrained objects in the circuit design over the set of scenarios. The system can then determine a subset of scenarios based at least on the values of the constrained objects, so that if the circuit design meets design constraints in each scenario in the subset of scenarios, the circuit design is expected to meet the design constraints in each scenario in the set of scenarios.
    Type: Application
    Filed: June 7, 2010
    Publication date: December 8, 2011
    Applicant: SYNOPSYS, INC.
    Inventors: Amir H. Mottaez, Rajinish K. Prasad
  • Publication number: 20110296365
    Abstract: The present invention relates to an extracting method for a circuit model, configured to represent output driving capability and an input capacitor of an interface pin of an application circuit. The extracting method comprises: receiving a netlist describing a circuit structure of the application circuit, which comprises a plurality of transistors; selecting an interface pin of the application circuit in the netlist; selecting a bias pin of the application circuit in the netlist; selecting at least one path between the interface pin and the bias pin in the netlist; and obtaining sum of equivalent width/length ratios according to the width/length ratios of all first transistors coupled to the at least one path.
    Type: Application
    Filed: May 25, 2011
    Publication date: December 1, 2011
    Applicant: REALTEK SEMICONDUCTOR CORP.
    Inventors: Meng-Jung Lee, Yu-Lan Lo, Shu-Yi Kao
  • Publication number: 20110296364
    Abstract: Some embodiments of the present invention create a layout for a circuit design which includes one or more circuit modules. The system can receive a nominal implementation of a circuit module, and a user-defined module generator capable of generating one or more custom implementations of the circuit module from an existing implementation of the circuit module. Next, the system can create the layout for the circuit design by executing the user-defined module generator on at least one processor to generate one or more custom implementations of the circuit module from the nominal implementation. The system can then use the one or more custom implementations of the circuit module in the layout.
    Type: Application
    Filed: June 1, 2010
    Publication date: December 1, 2011
    Applicant: SYNOPSYS, INC.
    Inventors: Haichun Chen, Greg Woolhiser, Scott I. Chase
  • Publication number: 20110296360
    Abstract: A method and system checks a double patterning layout and outputs a representation of G0-rule violations and critical G0-spaces. The method includes receiving layout data having patterns, determining whether each distance between adjacent pattern elements is a G0-space, find all G0-space forming a G0-rule violation, finding all G0-space that are critical G0-spaces, and outputting a representation of G0-rule violations and critical G0-spaces to an output device. By resolving G0-rule violations and critical G0-spaces, a design checker can effectively generate a double patterning technology (DPT) compliant layout.
    Type: Application
    Filed: May 27, 2010
    Publication date: December 1, 2011
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Dio WANG, Ken-Hsien HSIEH, Huang-Yu CHEN, Li-Chun TIEN, Ru-Gun LIU, Lee-Chung LU
  • Patent number: 8069430
    Abstract: Roughly described, methods and systems for improving integrated circuit layouts and fabrication processes in order to better account for stress effects. Dummy features can be added to a layout either in order to improve uniformity, or to relax known undesirable stress, or to introduce known desirable stress. The dummy features can include dummy diffusion regions added to relax stress, and dummy trenches added either to relax or enhance stress. A trench can relax stress by filling it with a stress-neutral material or a tensile strained material. A trench can increase stress by filling it with a compressive strained material. Preferably dummy diffusion regions and stress relaxation trenches are disposed longitudinally to at least the channel regions of N-channel transistors, and transversely to at least the channel regions of both N-channel and P-channel transistors. Preferably stress enhancement trenches are disposed longitudinally to at least the channel regions of P-channel transistors.
    Type: Grant
    Filed: August 25, 2009
    Date of Patent: November 29, 2011
    Assignee: Synopsys, Inc.
    Inventors: Victor Moroz, Xi-Wei Lin, Dipankar Pramanik
  • Patent number: 8069429
    Abstract: A detailed placement process which optimizes cell placement with up to one hundred percent densities in a linear run time. The output from a conjugate-gradient coarse placement process is input to the detailed placement process. A dynamic programming technique is used to optimize cell placement by swapping cells between two or more rows. The search space is pruned beforehand. A greedy cleanup phase using an incremental row placer is used. Thereby, the detailed placement process handles congestion driven placements characterized by non-uniform densities expeditiously and efficiently.
    Type: Grant
    Filed: June 16, 2008
    Date of Patent: November 29, 2011
    Assignee: Synopsys, Inc.
    Inventors: Ronald Miller, William C. Naylor, Yiu-Chung Wong
  • Publication number: 20110289470
    Abstract: Techniques, systems, and methods are provided for optimizing pattern density fill patterns for integrated circuits. The method includes adjusting an area of a scribe line and a density of dummy fill shapes in the adjusted scribe line, while maintaining an area of the die, to achieve a pattern density associated with technology ground rules for a particular design of the die.
    Type: Application
    Filed: May 18, 2010
    Publication date: November 24, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Jeanne P. BICKFORD, Allan O. CRUZ, Michelle GILL, Howard S. LANDIS, David V. MACDONNELL, II, Donald J. SAMUELS, Roger J. YERDON
  • Publication number: 20110289467
    Abstract: A layout method of a semiconductor integrated circuit by using cell library data includes specifying a gate in a predetermined cell as a reference gate, and automatically arranging a plurality of cells by a computer such that a number of gates arranged in an area in a predetermined distance from the reference gate meets a preset gate data density condition.
    Type: Application
    Filed: July 27, 2011
    Publication date: November 24, 2011
    Applicant: RENESAS ELECTRONICS CORPORATION
    Inventor: Naohiro Kobayashi
  • Patent number: 8065652
    Abstract: Various embodiments of the invention comprise methods and systems for determining when or whether to use hard rules or preferred rules during global routing of an electronic design. In some embodiments, the entire routable space is first routed with hard rules during global routing while ensuring the design may be embedded. The design is then analyzed with preferred rules where the overcongested areas are marked as “use hard rule” and areas not overcongested are marked as “use preferred rule.” The methods or the systems thus ensure that the design remains routable throughout the process while improving timing, manufacturability, or yield by reserving routing space for the preferred rules.
    Type: Grant
    Filed: August 13, 2007
    Date of Patent: November 22, 2011
    Assignee: Cadence Design Systems, Inc.
    Inventors: Jeffrey Scott Salowe, Charles T. Houck
  • Publication number: 20110272782
    Abstract: A power layout of an integrated circuit includes at least one power grid cell. Each power gird cell includes at least one first power layer configured to be coupled to a high power supply voltage and at least one second power layer configured to be coupled to a lower power supply voltage. The at least one first power layer has conductive lines in at least two different directions. The at least one second power layer has conductive lines in at least two different directions.
    Type: Application
    Filed: May 6, 2010
    Publication date: November 10, 2011
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventor: Chung-Chieh YANG
  • Publication number: 20110276936
    Abstract: A method for analog placement and global routing considering wiring symmetry performs a layout for a circuit which is described by a netlist having a set of devices and wires. First, the method inputs the netlist, and each device thereof has a design constraint and a corresponding priority. Based on the priorities, it performs a sorting on the devices to establish a constraint library. Then, based on the design constraint and corresponding priority of each device, the method establishes a hierarchical constraint tree. According to the hierarchical constraint tree, the method performs placement of each device, wherein possible shape of each device is represented by a shape curve. For each placement of the device, the method calculates a corresponding cost function. Then, it selects an optimum placement of the device according to the cost functions. The method establishes an RSMT for each wire and then performs an analog routing.
    Type: Application
    Filed: June 28, 2010
    Publication date: November 10, 2011
    Inventors: Iris Hui-Ru JIANG, Yu-Ming YANG
  • Patent number: 8056041
    Abstract: An apparatus of preventing congestive placement is provided. The apparatus comprises a judging module, a pattern generating module, and a placement module. The judging module judges whether a circuit layout comprises a congestive region according to a judging rule. When a judgment result of the judging module is affirmative, the pattern generating module generates a redistribution pattern with a density distribution of blockages. The density distribution gradually decreases outward. The placement module regards the congestive region as the center redistributes the blockages and electronic cells according to the redistribution pattern.
    Type: Grant
    Filed: May 25, 2009
    Date of Patent: November 8, 2011
    Assignee: MStar Semiconductor, Inc.
    Inventors: Chen-Hsing Lo, Chien-Pang Lu
  • Patent number: 8051400
    Abstract: A layout for an integrated circuit includes standard cells positioned at standard cell sites. Programmable cells are positioned at programmable fill sites which have a size sufficient to accommodate the programmable cells and are not occupied by standard cells. The position of these programmable sites is recorded in site data as part of the layout data associated with the layout. Empty standard cell sites remaining after standard cells and programmable cells have been placed are filled with standard fill cells. The boundaries of the programmable cells are not constrained other than by alignment with standard cell sites. This permits a high density of programmable fill sites and programmable cells to be achieved. When it is desired to replace a programmable cell with a programmed cell the programmable cells are all deleted from the layout and then the required programmed cells are subject to an automated placement algorithm to place them where appropriate for their function.
    Type: Grant
    Filed: October 21, 2008
    Date of Patent: November 1, 2011
    Assignee: ARM Limited
    Inventor: Marlin Wayne Frederick
  • Publication number: 20110265055
    Abstract: A computer-implemented method for handling a plurality of constraints in layout optimization for an integrated circuit (IC) layout is disclosed. In one embodiment, the method includes building a graph representing the plurality of constraints; marking two-dimensional constraints in the plurality of constraints; generating two-dimensional clusters including groups of the two-dimensional constraints; handling at least one of the two-dimensional clusters, the handling including finding a solution for the two-dimensional constraints in the at least one two-dimensional cluster; repeating the handling for any unprocessed two-dimensional clusters until all of the two-dimensional clusters are handled; and adopting the solution for each of the two-dimensional clusters to solve at least a portion of the plurality of constraints including the two-dimensional clusters.
    Type: Application
    Filed: April 26, 2010
    Publication date: October 27, 2011
    Applicant: International Business Machines Corporation
    Inventors: Michael S. Gray, Xiaoping Tang, Xin Yuan
  • Patent number: 8046608
    Abstract: A method of managing power supply to at least one device in a data processing system, the method comprising determining when the at least one device has been associated with no resources for a predetermined period of time; turning off the power supply to the at least one device; determining that the at least one device is required for use by the data processing system; and restoring the power supply to the at least one device.
    Type: Grant
    Filed: August 6, 2008
    Date of Patent: October 25, 2011
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Vishwas Venkatesh Pai, Harish Kuttan