Constraint-based Patents (Class 716/122)
  • Publication number: 20120198406
    Abstract: An apparatus, program product and method facilitate the design of a multi-layer circuit arrangement incorporating a universal, standardized inter-layer interconnect in a multi-layer semiconductor stack to facilitate interconnection and communication between functional units disposed on a stack of semiconductor dies. Each circuit layer in the multi-layer semiconductor stack is required to include an inter-layer interface region that is disposed at substantially the same topographic location such that when the semiconductor dies upon which such circuit layers are disposed are arranged together in a stack, electrical conductors disposed within each semiconductor die are aligned with one another to provide an inter-layer bus that is oriented vertically, or transversely, with respect to the individual circuit layers.
    Type: Application
    Filed: March 16, 2012
    Publication date: August 2, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Gerald K. Bartley, Russell Dean Hoover, Charles Luther Johnson, Steven Paul VanderWiel, Patrick Ronald Varekamp
  • Patent number: 8234615
    Abstract: Assigning pins to macro-blocks of an Integrated Circuit (IC) chip is described. The macro-block pin assignments are automatically placed using Constraint Programming (CP) techniques to position the pins on the periphery of a macro-block. Bus-ordering and pin-spacing constraints are performed considering all the nets forming the IC design simultaneously. The CP formulation includes modeling detailed and discrete constraints required to achieve an optimal pin-assignment. A stochastic CSP solver is used to define the cost function on search points giving full assignments to all the variables. The macro-block pins are ultimately moved to computed locations.
    Type: Grant
    Filed: August 4, 2010
    Date of Patent: July 31, 2012
    Assignee: International Business Machines Corporation
    Inventors: Shyam Ramji, Bella Dubrov, Haggai Eran, Ari Freund, Edward F. Mark, Timothy A. Schell
  • Patent number: 8234612
    Abstract: Spare cells are placed in an IC design by assigning different spare utilization rates to logic cones, applying the rates to corresponding spare cell regions surrounding cells in the cones, identifying any overlap of regions from different logic cones, and inserting a spare cell at the overlapping region having the highest spare utilization rate. The best location for the spare cell is computed using a hypergraph wherein the cells are edges and the regions are nodes. Any node that is dominated by another node is removed and its edge is extended to the dominating node. The spare cell is inserted in the region having the most edges (the edges can be weighted). The process is repeated iteratively, updating the hypergraph by removing nodes connected to spare cell location, and inserting the next spare cell at a region corresponding to the node which then has the greatest number of connected edges.
    Type: Grant
    Filed: August 25, 2010
    Date of Patent: July 31, 2012
    Assignee: International Business Machines Corporation
    Inventors: Benjiman L. Goodman, Nathaniel D. Hieter, Jeremy T. Hopkins, Samuel I. Ward
  • Patent number: 8230381
    Abstract: With a conventional method for designing cell layout, it is necessary to give relative positional information in advance to all cells to be arranged. Furthermore, the conventional method is troublesome because it is necessary to correct relative positional information of cells after confirming a result of temporary layout. Therefore, it takes time to obtain a layout result. To avoid these problems, cells of a specific type specified from outside, or cells satisfying specific conditions, are extracted and arranged first or limited to a layout position by specifying a layout position, then arranging the remaining cells using a general layout algorithm.
    Type: Grant
    Filed: May 4, 2010
    Date of Patent: July 24, 2012
    Assignee: Hitachi, Ltd.
    Inventors: Katsuyuki Itoh, Hironori Iwamoto
  • Patent number: 8225262
    Abstract: A method of placing clock circuits in an integrated circuit is disclosed. The method comprises receiving a circuit design to be implemented in the integrated circuit; identifying portions of the circuit design comprising clock circuits; determining an order of clock circuits to be placed based upon resource requirements of the clock circuits; and placing the portions of the circuit design comprising clock circuits in sites of the integrated circuit. A system for placing clock circuits in an integrated circuit is also disclosed.
    Type: Grant
    Filed: March 18, 2009
    Date of Patent: July 17, 2012
    Assignee: Xilinx, Inc.
    Inventors: Marvin Tom, Wei Mark Fang, Srinivasan Dasasathyan
  • Patent number: 8225263
    Abstract: A design method of a semiconductor integrated circuit carried out by a computer, including: a DRC step of performing a design rule check (Design Rule Check) with reference to layout information on an internal wiring in a capacitor cell and layout information on a signal wiring in the semiconductor integrated circuit; an integration step of integrating layout information on the internal wiring into layout information on the signal wiring when being determined in the DRC step that there is an error; and an elimination step of eliminating an error portion in the internal wiring from the integrated layout information.
    Type: Grant
    Filed: March 20, 2009
    Date of Patent: July 17, 2012
    Assignee: NEC Corporation
    Inventor: Takashi Gotou
  • Patent number: 8225264
    Abstract: An integrated circuit (IC) and fabrication method thereof is provided that include the steps of specifying a plurality of required tile modules suitable for a particular end application, each of the modular tiles being configured to perform a predetermined function and constructed to have approximately the same length and width dimensions. The modular tiles are used to form the IC in a standard IC fabrication process. In many implementations, physical layout of the IC does not include the step of routing. Capabilities also include configuring the modular tiles to have programmable performance parameters and configuring the modular tiles to cooperate usefully with one another based on a programmable parameter.
    Type: Grant
    Filed: August 21, 2009
    Date of Patent: July 17, 2012
    Assignee: Active-Semi, Inc.
    Inventors: Steven Huynh, David Kunst
  • Patent number: 8225261
    Abstract: First and second virtual grates are defined as respective sets of parallel virtual lines extending across a layout area in first and second perpendicular directions, respectively. The virtual lines of the first and second virtual grates correspond to placement locations for layout features in lower and higher chip levels, respectively. Each intersection point between virtual lines of the first and second virtual grates is a gridpoint within a vertical connection placement grid. Vertical connection structures are placed at a number of gridpoints within the vertical connection placement grid so as to provide electrical connectivity between layout features in the lower and higher chip levels. The vertical connection structures are placed so as to minimize a number of different spacing sizes between neighboring vertical connection structures across the vertical connection placement grid, while simultaneously minimizing to an extent possible layout area size.
    Type: Grant
    Filed: March 7, 2009
    Date of Patent: July 17, 2012
    Assignee: Tela Innovations, Inc.
    Inventors: Joseph Hong, Stephen Kornachuk, Scott T. Becker
  • Patent number: 8225239
    Abstract: Regular layout shapes are placed in accordance with a virtual grate. A determination is made as to whether an unoccupied layout space adjacent to a regular layout shape to be reinforced, and extending in a direction perpendicular to the regular layout shape, is large enough to support placement of a sub-resolution shape. Upon determining that the unoccupied layout space is large enough to support placement of the sub-resolution shape, the sub-resolution shape is placed so as to be substantially centered upon a virtual line of the virtual grate within the unoccupied layout space. Also, one or more sub-resolution shapes are placed between and parallel with neighboring regular layout shapes when windows of lithographic reinforcement associated with each of the neighboring regular layout shapes permit. The sub-resolution shapes may be placed according to a virtual grate, or may be placed based on proximity to edges of the neighboring regular layout shapes.
    Type: Grant
    Filed: June 5, 2009
    Date of Patent: July 17, 2012
    Assignee: Tela Innovations, Inc.
    Inventors: Brian Reed, Michael C. Smayling, Joseph N. Hong, Stephen Fairbanks, Scott T. Becker
  • Patent number: 8219944
    Abstract: A method, system, and computer program product are disclosed for performing RC extraction from the perspective of the block level. A translation mechanism is employed to convert from a full-chip design domain to a block-level design domain. This allows model-based prediction results to be used in the early design implementation flow when parasitic RC and timing extractions are performed, where the model-based prediction results relate to predictions of manufacturing variations such as thickness and topography.
    Type: Grant
    Filed: June 23, 2009
    Date of Patent: July 10, 2012
    Assignee: Cadence Design Systems, Inc.
    Inventors: Li J. Song, Zhan-Zhong Yao, Rachid Salik, Hao Ji, Taber Smith
  • Patent number: 8219948
    Abstract: A layout verification device according to the present invention includes a layout verification unit that outputs a first error graphic corresponding to an area where there is an inconsistency with a design rule in a first layout pattern, and includes a target error graphic setting unit that sets a processing target area including the first error graphic, an error graphic search unit that searches a second error graphic included in a processing target area of a second layout pattern where verification by the layout verification unit has already been performed, and an error graphic equivalence judgment unit that judges that the first error graphic and the second error graphic are non-equivalent when a second target vertex coordinate of the second error graphic does not match any one of a plurality of peripheral vertex coordinates set in grid intersections adjacent to the first target vertex coordinate of the first error graphic.
    Type: Grant
    Filed: January 4, 2010
    Date of Patent: July 10, 2012
    Assignee: Renesas Electronics Corporation
    Inventor: Taketoshi Tsurumoto
  • Patent number: 8219955
    Abstract: In order to make it possible to automatically execute a wiring process which satisfies not only a design condition but also design quality relating to an electric characteristic, according to the embodiment, an automatic wiring apparatus includes a design condition changing section for changing a design condition in accordance with priority information regarding the design condition where a wiring process which satisfies the design condition cannot be carried out by a first wiring processing section, a quality allowability decision section for deciding whether or not quality of a wiring region can be allowed where a wiring process which satisfies the design condition after the changing can be executed by a second wiring processing section and an outputting section for outputting a result of the wiring process of the wiring region by the second wiring processing section if it is decided that the quality of the wiring region can be allowed.
    Type: Grant
    Filed: October 6, 2009
    Date of Patent: July 10, 2012
    Assignee: Fujitsu Limited
    Inventors: Daita Tsubamoto, Hitoshi Yokemura, Hidenobu Shiihara, Kazukiyo Ogawa, Hisashi Aoyama, Masaki Tosaka
  • Publication number: 20120174051
    Abstract: A method and a system for generating a placement layout is disclosed. The method includes receiving one or more user provided schematic with circuit data, placement parameters of circuit elements, default values, and user specified function calls and variables for calculating placement parameters; evaluating variables and function calls to discrete placement parameters; evaluating justification values and adjusting relative parameter values; calculating absolute placement coordinates for all cells from relative placement parameters for each instance; adjusting placement coordinates for alignment options; and generating a layout/hierarchical layout with placement circuit elements based on the calculated absolute placement coordinates.
    Type: Application
    Filed: January 3, 2012
    Publication date: July 5, 2012
    Applicant: International Business Machines Corporation
    Inventors: Tobias T. Werner, Anthony L. Parent, Raphael Polig, Alexander Woerner
  • Patent number: 8214778
    Abstract: A semiconductor chip is defined to include a logic block area having a first chip level in which layout features are placed according to a first virtual grate, and a second chip level in which layout features are placed according to a second virtual grate. A rational spatial relationship exists between the first and second virtual grates. A number of cells are placed within the logic block area. Each of the number of cells is defined according to an appropriate one of a number of cell phases. The appropriate one of the number of cell phases causes layout features in the first and second chip levels of a given placed cell to be aligned with the first and second virtual grates as positioned within the given placed cell.
    Type: Grant
    Filed: July 2, 2009
    Date of Patent: July 3, 2012
    Assignee: Tela Innovations, Inc.
    Inventors: Jonathan R. Quandt, Scott T. Becker, Dhrumil Gandhi
  • Publication number: 20120167031
    Abstract: A method of designing a semiconductor device includes preparing a first design for a semiconductor device and estimating leakage current for the first design. The method also includes determining a leakage current cumulative distribution function (CDF) for the first design. The method further includes preparing a second design for the semiconductor device based on determination of the leakage current CDF for the first design. Further, the method includes estimating leakage current for the second design. The method also includes determining a leakage current CDF for the second design in accordance to the determination of the leakage current CDF for the first design. Moreover, the method includes selecting one of the first design and the second design based on a comparison of the leakage current CDF for the first design and the leakage CDF for the second design.
    Type: Application
    Filed: December 28, 2010
    Publication date: June 28, 2012
    Applicant: Texas Instruments Incorporated
    Inventors: Palkesh Jain, Ajoy Mandal, Arvind NV, V. Visvanathan
  • Patent number: 8209648
    Abstract: Certain embodiments of the present invention enable comparisons between constrained circuit designs by generating timing graphs for circuit designs, mapping timing constraints to the timing graphs, and comparing the mapped timing constraints from different timing graphs. Typically this comparison is made by identifying corresponding nodes in two or more timing graphs. Specific embodiments are also directed to multiple SDC (Synopsis Design Constraint) constraint specifications for a circuit and multiple constraint sets for different operational modes of a circuit.
    Type: Grant
    Filed: September 3, 2009
    Date of Patent: June 26, 2012
    Assignee: Cadence Design Systems, Inc.
    Inventors: Shan-Chyun Ku, Marcelo Glusman, Yee-Wing Hsieh, Manish Pandey, Angela Krstic, Sarath Kirihennedige
  • Patent number: 8209656
    Abstract: Some embodiments provide a method for decomposing a region of an integrated circuit (“IC”) design layout into multiple mask layouts. The method identifies a number of sets of geometries in the design layout region that must be collectively assigned to the multiple mask layouts. The method assigns the geometries in a first group of collectively-assigned sets to different mask layouts without splitting any of the geometries. The method assigns the geometries in a second group of the collectively-assigned sets to different mask layouts in such a way so as to minimize the number of splits in the geometries of the second group.
    Type: Grant
    Filed: October 14, 2008
    Date of Patent: June 26, 2012
    Assignee: Cadence Design Systems, Inc.
    Inventors: Xiaojun Wang, Yuane Qiu, Prasanti Uppaluri, Judy Huckabay, Tianhao Zhang
  • Patent number: 8205182
    Abstract: In one embodiment of the invention, a method for designing an integrated circuit is disclosed. The method includes automatically partitioning clock sinks of an integrated circuit design into a plurality of partitions; automatically synthesizing a clock tree from a master clock generator into the plurality of partitions to minimize local clock skew within each of the plurality of partitions; and automatically synthesizing clock de-skew circuitry into each of the plurality of partitions to control clock skew between neighboring partitions.
    Type: Grant
    Filed: August 22, 2008
    Date of Patent: June 19, 2012
    Assignee: Cadence Design Systems, Inc.
    Inventors: Radu Zlatanovici, Christoph Albrecht, Saurabh Kumar Tiwary
  • Patent number: 8196079
    Abstract: An integrated circuit (IC) and fabrication method thereof is provided that include the steps of specifying a plurality of required tile modules suitable for a particular end application, each of the modular tiles being configured to perform a predetermined function and constructed to have approximately the same length and width dimensions. The modular tiles are used to form the IC in a standard IC fabrication process. In many implementations, physical layout of the IC does not include the step of routing. Capabilities also include configuring the modular tiles to have programmable performance parameters and configuring the modular tiles to cooperate usefully with one another based on a programmable parameter.
    Type: Grant
    Filed: August 25, 2009
    Date of Patent: June 5, 2012
    Assignee: Active-Semi, Inc.
    Inventors: Steven Huynh, David Kunst
  • Patent number: 8196075
    Abstract: A process is provided for creating an input/output (I/O) model. A set of logical I/O pins of an unplaced and unrouted circuit design is determined. Pin placement is determined for one or more of the logical I/O pins on device pins of a target device. An I/O pin profile for each of the logical I/O pins is determined. A plurality of I/O pin models available on the target device are input and an I/O pin model is selected from the plurality of I/O pin models for each of the logical I/O pins according to the respective I/O pin profiles. An I/O model is generated including each selected I/O pin model within the I/O model. The generated I/O model is stored in a processor readable storage medium.
    Type: Grant
    Filed: December 16, 2009
    Date of Patent: June 5, 2012
    Assignee: Xilinx, Inc.
    Inventors: Philippe Garrault, Jennifer D. Baldwin, Richard J. LeBlanc, Premduth Vidyanandan, Kenneth J. Stickney, Jr., Carrie L. Kisiday
  • Patent number: 8196081
    Abstract: In one embodiment of the invention, a processor-implemented method is provided for routing of a partially routed circuit design. Modified signals of the partially routed circuit design are determined. A first set of routing constraints are applied by the processor to the unmodified signals of the circuit design. For each logic block of the circuit design, the number of the modified signals and the number of the unmodified signals connected to the logic block are determined. In response to one of the logic blocks having a ratio of the number of modified signals to the number of unmodified signals greater than a threshold ratio, the routing constraints are removed by the processor from one or more of the unmodified signals of the one of the logic blocks. The partially routed circuit design is then routed by the processor according to the remaining routing constraints, and the resulting netlist is stored.
    Type: Grant
    Filed: March 31, 2010
    Date of Patent: June 5, 2012
    Assignee: Xilinx, Inc.
    Inventors: Hasan Arslan, Vinay Verma, Sandor Kalman
  • Patent number: 8196087
    Abstract: An optimized semiconductor chip pad configuration. The pad includes a pad circuit area Ap, a first dimension x and a second dimension y, in a chip having N number of pins on each side. The pins include a longitudinal axis, and the chip includes a chip core of length Lc. The method includes determining the first dimension x by dividing the length Lc by the N, determining the second dimension y by dividing the pad circuit area Ap by a result of a division of the length Lc by the N, and creating a semiconductor area pad that includes pins with the longitudinal axis positioned parallel to the chip core. A stack of circuits is designed in the chip to fit in the pad based on the first dimension x and the second dimension y.
    Type: Grant
    Filed: April 14, 2010
    Date of Patent: June 5, 2012
    Assignee: Newport Media, Inc.
    Inventor: Nabil Yousef Wasily
  • Patent number: 8191024
    Abstract: A computer program for generating an H-tree for an integrated circuit design stored on a computer readable medium includes code to receive from a user a set of parameters to configure the H-tree. The parameters include a starting segment length and an ending segment length. The computer program also includes code to select a starting location in the integrated circuit design. The computer program further includes code to place an anchor H at the starting location. The computer program further includes code to recursively place child Hs on the H-tree based on the starting segment length and the ending segment length to create a fan-out with equal weight on each child H. The number of levels of the H-tree is calculated according to a rounded down integer equal to a binary logarithm of a quotient of the starting segment length divided by the ending length.
    Type: Grant
    Filed: March 16, 2009
    Date of Patent: May 29, 2012
    Assignee: QUALCOMM Incorporated
    Inventor: Chandrasekhar Singasani
  • Publication number: 20120131533
    Abstract: The disclosure relates to a method of fabricating an integrated circuit on a semiconductor chip, the method comprising: designing an architecture of the integrated circuit comprising at least first and second standard cells implementing a same basic function; designing for the standard cell at least first and second cell layouts presenting random differences; designing an integrated circuit layout corresponding to the integrated circuit architecture; fabricating the integrated circuit according to the integrated circuit layout; using the first cell layout to implement the first standard cell in the integrated circuit layout; and using the second cell layout to implement the second standard cell in the integrated circuit layout. The method can be used for protection of an integrated circuit against reverse engineering.
    Type: Application
    Filed: November 17, 2011
    Publication date: May 24, 2012
    Applicant: STMICROELECTRONICS (ROUSSET) SAS
    Inventor: Fabrice Marinet
  • Publication number: 20120131534
    Abstract: Creating and detecting crossings of conductive traces on different layers of an integrated circuit or a conducting trace over a device contact in a system. Values are stored by a system simulator corresponding to a galvanic potential or same “net”. According to a set of rule based instructions vias are automatically displayed, correct-by-construction, and via connections between the traces, or the trace and device contact, to short circuit the paths. The via structure will not be created if it will short-circuit a conducting trace not associated with the net in question. By connecting traces on different layers using automatically created via structures so as not to short circuit other net traces, errors are eliminated and design cycles reduced when compared to a manual design scheme of inserting via connections. There is an interactive mode which allows the via to be easily resized by the use of familiar control handles.
    Type: Application
    Filed: December 22, 2011
    Publication date: May 24, 2012
    Inventor: Joseph Edward Pekarek
  • Patent number: 8185858
    Abstract: A layout-wiring-congestion prediction apparatus. The layout-wiring-congestion prediction apparatus includes: a circuit-data providing section providing circuit data; a conversion processing section converting the provided circuit data into directed graph data; a node-placement-coordinate calculation processing section calculating individual node placement coordinates of the directed graph data produced by the conversion processing section; a node-placement-density calculation section calculating a node-placement density on the basis of the individual node placement coordinates calculated by the node-placement-coordinate calculation processing section; and a node-placement-density error determination processing section determining the node-placement density calculated by the node-placement-density calculation section to be an error if the node-placement density is higher than an error-determination threshold value.
    Type: Grant
    Filed: July 29, 2009
    Date of Patent: May 22, 2012
    Assignee: Sony Corporation
    Inventor: Shinichiro Okamoto
  • Publication number: 20120124541
    Abstract: A method, system and computer program product are provided for implementing spare latch placement quality (SLPQ) determination in a floor plan design of an integrated circuit chip. A spare latch placement quality (SLPQ) metric data function is defined and compared to a spare latch placement input with a series of calculations performed. The spare latch placement quality (SLPQ) determination is made based upon the compared SLPQ metric data function and the spare latch placement input. Then associated reports including textual and visual reports are generated responsive to the SLPQ determination. In addition, a new spare latch placement can be constructed with an algorithm responsive to the SLPQ determination.
    Type: Application
    Filed: November 17, 2010
    Publication date: May 17, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Michael David Amundson, Craig Marshall Darsow, Eldon Gale Nelson, Dennis Martin Rickert
  • Patent number: 8181140
    Abstract: A method of generating a circuit design comprising a T-coil network includes determining inductance for inductors and a parasitic bridge capacitance of the T-coil network. The parasitic bridge capacitance is compared with a load capacitance metric that depends upon parasitic capacitance of a load coupled to an output of the T-coil network. An amount of electrostatic discharge (ESD) protection of the circuit design that is coupled to the output of the T-coil network and/or a parameter of the inductors of the T-coil network is selectively adjusted according to the comparison. The circuit design, which can specify inductance of the inductors, the amount of ESD protection, and/or the width of windings of the inductors, is outputted.
    Type: Grant
    Filed: November 9, 2009
    Date of Patent: May 15, 2012
    Assignee: Xilinx, Inc.
    Inventors: Vassili Kireev, James Karp, Toan D. Tran
  • Patent number: 8181141
    Abstract: A dummy rule generating apparatus includes a critical pattern estimating unit that determines a wiring pattern whose total perimeter length of wirings is smaller than an appropriate range based on constraints on the wirings for a circuit layout as a critical pattern. The dummy rule generating apparatus also includes a rule generating unit that generates dummy fill rules of a shape and a layout of dummy metals that increase number of dummy metals inserted in the critical pattern and decrease the number of dummy metals inserted in a wiring pattern whose total perimeter length of wirings is within an appropriate range.
    Type: Grant
    Filed: March 19, 2010
    Date of Patent: May 15, 2012
    Assignee: Fujitsu Limited
    Inventor: Izumi Nitta
  • Patent number: 8181142
    Abstract: A semiconductor device, includes a first wiring pattern in a first region, a second wiring pattern in a second region, and at least one first dummy pattern formed in the first region and at least one second dummy pattern formed in the second region. A total area of the at least one first dummy pattern is the same as a total area of the at least one second dummy pattern and a total length of pattern periphery of the at least one second dummy pattern is longer than a total length of pattern periphery of the at least one first dummy pattern.
    Type: Grant
    Filed: September 3, 2010
    Date of Patent: May 15, 2012
    Assignee: Renesas Electronics Corporation
    Inventor: Keisuke Hirabayashi
  • Patent number: 8176452
    Abstract: Methods and apparatuses for incremental circuit partitioning and incremental trace assignment. In one embodiment of the present invention, a cost function based on both the partitioning solution and the trace assignment solution is used for the partitioning of a circuit; in reducing the cost function, blocks of circuits are moved among partitions and the trace assignment are updated accordingly to evaluate the cost function. In one embodiment, the traces and nets are grouped according to the partitions they connect for trace assignment. In one embodiment, a flow diagram is constructed for assigning nets to traces; and, maximum flow algorithms are used. In one embodiment, a flow diagram includes feedthrough solutions, in which flow conservation is not preserved at certain nodes. In one embodiment, integer linear programming techniques are used for assigning nets to traces.
    Type: Grant
    Filed: November 9, 2010
    Date of Patent: May 8, 2012
    Assignee: Synopsys, Inc.
    Inventors: Awartika Pandey, Drazen Borkovic, Kenneth S. McElvain
  • Patent number: 8176460
    Abstract: An ESD protection optimizer, a method of optimizing ESD protection for an IC and an ESD protection optimization system is disclosed. In one embodiment, the ESD protection optimizer includes: (1) a circuit analyzer configured to identify ESD cells and circuitry of the IC by comparing component information of the IC with predefined ESD protection elements and predefined circuit topologies and (2) an ESD resistance determiner configured to calculate a resistance value to couple in series with the circuitry, the resistance value based on protection cell physical attributes associated with the identified ESD cells and circuitry physical attributes associated with the identified circuitry.
    Type: Grant
    Filed: May 1, 2009
    Date of Patent: May 8, 2012
    Assignee: Texas Instruments Incorporated
    Inventors: Gianluca Boselli, Jonathan S. Brodsky, John E. Kunz, Jr.
  • Patent number: 8176445
    Abstract: We disclose a method for optimizing integrated circuit layout which comprises analyzing constraint relationship among objects in an initial layout; constructing local modifications to the constraint relationship; forming new constraint relationships by combining initial constraint relationships with their local modifications; and producing a new layout by implementing the new constraint relationships. Local modification to constraints provides a framework for bringing detailed local information into the design process in a highly automated manner, which can be applied to a wide range of situations. We disclose preferred embodiments on improving lithography printability, reducing defect susceptibility, and improving circuit performance such as reducing layout variability and leakage.
    Type: Grant
    Filed: July 29, 2008
    Date of Patent: May 8, 2012
    Inventor: Qi-De Qian
  • Patent number: 8176455
    Abstract: A semiconductor device design support apparatus for generating a substrate netlist so as to be able to perform substrate noise analysis with high accuracy in a short time. The semiconductor device design support apparatus comprises a unit that divides a semiconductor device layout into a plurality of segments and generates a macro-model of the segments by using a current waveform of an instance included in the divided segments; a unit that replaces a pattern (termed as “substrate interface”) that is designed to be an interface with a substrate with respect to the segments, by a prescribed substrate interface diagram; and a unit that generates a substrate netlist, based on the substrate interface diagram of the plurality of segments.
    Type: Grant
    Filed: September 3, 2009
    Date of Patent: May 8, 2012
    Assignee: Renesas Electronics Corporation
    Inventor: Mikiko Tanaka
  • Patent number: 8176451
    Abstract: A behavioral synthesis apparatus includes a acquisition unit, a scheduling unit and a generation unit. The acquisition unit acquires a behavioral level description describing an operation of a semiconductor integrated circuit. The scheduling unit separates the acquired behavioral level description into N stage descriptions, and makes a schedule in such a way that input/output operations and computations among the N stage descriptions are pipelined. The generation unit generates a register transfer level description based on the N stage descriptions and a result of scheduling performed by the scheduling unit in such a way as to form stage circuits respectively corresponding to the N stage descriptions and a state control circuit which controls possible 2N?1 stage control states of the semiconductor integrated circuit. The generation unit generates the register transfer level description in such a way as to inhibit the operation of a stage circuit which need not be operated.
    Type: Grant
    Filed: September 29, 2009
    Date of Patent: May 8, 2012
    Assignees: NEC Corporation, Renesas Electronics Corporation
    Inventors: Takao Toi, Noritsugu Nakamura, Yoshinosuke Kato, Toru Awashima, Taro Fujii, Toshiro Kitaoka, Koichiro Furuta, Masato Motomura
  • Publication number: 20120110535
    Abstract: In layout design step of the semiconductor integrated circuit manufacturing method, when it is found that the wiring length between an external terminal and an IO block (external terminal I/F circuit) corresponding to the external terminal increases after a floorplan of a circuit including a functional block and the IO block is determined, placement of the IO block is determined such that the IO block is placed close to the external terminal to alleviate constraints on the wiring between the IO block and the external terminal, and timing adjustment circuits whose number is determined according to the wiring length of a bus (or a shared bus) connecting a data transfer circuit and the IO block is inserted into the bus.
    Type: Application
    Filed: May 27, 2011
    Publication date: May 3, 2012
    Inventors: Daisuke Iwahashi, Masayoshi Tojima, Tokuzo Kiyohara
  • Patent number: 8171444
    Abstract: A layout design support apparatus divides a first module obtained by dividing a semiconductor integrated circuit into a plurality of second modules in order to support a layout design for determining the disposition of each cell constituting the semiconductor integrated circuit and wiring, and makes the detailed design of a layout for determining the disposition of each cell in the second module and wiring for each second module.
    Type: Grant
    Filed: September 17, 2009
    Date of Patent: May 1, 2012
    Assignee: Fujitsu Limited
    Inventor: Ryoichi Yamashita
  • Patent number: 8171443
    Abstract: Computer-aided-design tools are provided that support real-time phase-locked loop reconfiguration with a single design compilation. Each design compilation may involve operations such as logic synthesis and place and route operations. A circuit designer who is designing an integrated circuit may supply circuit design data. The circuit design data may include design data for multiple configurations of a phase-locked loop. By using a phase-locked loop scan chain initialization file generator engine located in a CAD tool design input wizard, the computer-aided-design tools may produce multiple phase-locked loop initialization files without performing a design compilation. The CAD tools may process one or more initialization files and the circuit design data to produce output data. The output data may include configuration data to implement the circuit design.
    Type: Grant
    Filed: May 18, 2011
    Date of Patent: May 1, 2012
    Assignee: Altera Corporation
    Inventors: Ian Eu Meng Chan, Kumara Tharmalingam
  • Publication number: 20120100744
    Abstract: A communication plug having a plug body and a plurality of contact pairs at least partially within the plug body, the contact pairs including an inherent asymmetric coupling between individual contacts of one of the contact pairs and other individual contacts of another of the contact pairs. Second asymmetric coupling elements are connected between the individual contacts of one of the contact pairs and the other individual contacts of another of the contact pairs. The second asymmetric coupling elements, when combined with the inherent asymmetric coupling, provide a balanced symmetric coupling between the individual contacts of one of the contact pairs and the other individual contacts of another of the contact pairs.
    Type: Application
    Filed: October 21, 2010
    Publication date: April 26, 2012
    Applicant: PANDUIT CORP.
    Inventors: Masud Bolouri-Saransar, Ronald A. Nordin, Paul W. Wachtel, Surendra Chitti Babu
  • Patent number: 8166441
    Abstract: A method of designing a logic circuit based on one of the functions of the form fn=x1 (x2 & (x3 (x4 & . . . xn . . . ))) and f?n=x1 & (x2 (x3 & (x4 . . . xn . . . ))), by (a) selecting n as the number of variables of the logic circuit, (b) testing n against a threshold, (c) for values of n less than the threshold, using a first algorithm to design the logic circuit, (d) for values of n greater than the threshold, using a second algorithm to design the logic circuit.
    Type: Grant
    Filed: October 9, 2008
    Date of Patent: April 24, 2012
    Assignee: LSI Corporation
    Inventor: Mikhail I. Grinchuk
  • Patent number: 8166435
    Abstract: Some embodiments provide a method that identifies a first physical design solution for positioning several configurable operations on several reconfigurable circuits of an integrated circuit (IC). The method identifies a second physical design solution for positioning the configurable operations on the configurable circuits. One of the identified physical design solutions has one reconfigurable circuit perform a particular configurable operation in at least two reconfiguration cycles while the other identified solution does not have one reconfigurable circuit perform the particular configurable operation in two reconfiguration cycles. The method costs the first and second physical design solutions. The method selects one of the two physical design solutions based on the costs.
    Type: Grant
    Filed: June 26, 2008
    Date of Patent: April 24, 2012
    Assignee: Tabula, Inc.
    Inventors: Steven Teig, Andrew Caldwell
  • Patent number: 8166439
    Abstract: A technique for implementing an engineering change order includes determining spares that are available to implement a modification to a circuit design. One of the available spares is then selected to implement the modification to the circuit design based on performance criteria associated with each of the available spares.
    Type: Grant
    Filed: December 28, 2007
    Date of Patent: April 24, 2012
    Assignee: International Business Machines Corporation
    Inventors: Jeremy T. Hopkins, Thomas E. Rosser
  • Patent number: 8166438
    Abstract: A system includes an input device, an output device, a printed circuit board, and a semiconductor device. The semiconductor device includes a semiconductor die. The semiconductor die includes a clock distribution network that distributes a primary clock signal. The clock distribution network includes a low RC local clock distribution structure. The low RC local clock distribution structure includes a conductor, a first clock signal incident on the conductor, a local gain buffer pair that receives the first clock signal and outputs a second clock signal corresponding to the first clock signal, and a shorting bar that shorts the second clock signal to a plurality of conductors.
    Type: Grant
    Filed: January 28, 2009
    Date of Patent: April 24, 2012
    Assignee: Oracle America, Inc.
    Inventor: Robert P. Masleid
  • Patent number: 8166440
    Abstract: A basic cell circuit architecture having plurality of cells with fixed transistors configurable for the formation of logic devices and/or single/dual port memory devices within a structured ASIC is provided. Different configurations of ensuing integrated circuits are achieved by forming variable interconnect layers above the fixed structures. The circuit architecture can achieve interconnection of transistors within a single cell and/or across multiple cells. The interconnection can be configured to form basic logic gates as well as more complex digital and analog subsystems. In addition, each cell contains a layout of transistors that can be variably coupled to achieve a memory device, such as a SRAM device. By having the capability of forming either a logic circuit element, a memory device, or both, the circuit architecture is both memory-centric and logic-centric, and more fully adaptable to modern-day SoCs.
    Type: Grant
    Filed: June 16, 2008
    Date of Patent: April 24, 2012
    Assignee: LSI Corporation
    Inventors: Ramnath Venkatraman, Michael N. Dillon, David A. Gardner, Carl Anthony Monzel, III, Subramanian Ramesh, Robert C. Armstrong, Gary Scott Delp, Scott Allen Peterson
  • Patent number: 8159377
    Abstract: A timing skew estimation system is disclosed that includes a plurality of interleaved analog-to-digital converter circuits (ADCs), a timing mismatch estimation unit, and a correction unit. The timing mismatch estimation unit calculates a correlation between each of the plurality of ADCs. Then the timing mismatch estimation unit calculates a cost function for each of the plurality of ADCs, except the reference ADC. The timing mismatch estimation unit further calculates a gradient for each of the plurality of ADCs, except the reference ADC.
    Type: Grant
    Filed: August 31, 2010
    Date of Patent: April 17, 2012
    Assignee: Texas Instruments Incorporated
    Inventors: Naor Goldman, Noam Tal, Yonina Eldar, Charles Sestok, Efrat Levy
  • Patent number: 8156465
    Abstract: A plurality of cells are disposed in a chip region and wires are disposed between the cells in order to connect the cells over a plurality of layout steps. The layout method comprises (1) a placement restricted region placement step for disposing, in the chip region, a placement restricted region in which placement of predetermined cell types is prohibited or permitted in accordance with different layout steps, (2) a first layout step for disposing a desired cell in the chip region in conformity with the cell types that are prohibited or permitted in accordance with the first layout step of the disposed placement restricted region, and (3) a second layout step for disposing a desired cell in the chip region in conformity with the cell types that are prohibited or permitted in accordance with the second layout step of the disposed placement restricted region.
    Type: Grant
    Filed: April 11, 2006
    Date of Patent: April 10, 2012
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Kenji Kumagai
  • Patent number: 8151236
    Abstract: Roughly described, a method for mask data preparation is described, for use with a preliminary mask layout that includes a starting polygon, the vertices of the starting polygon including I-points (vertices of the starting polygon having an interior angle greater than 90 degrees), including steps of developing a rectilinear partition tree on at least the I-points of the starting polygon, and using the edges of the partition tree to define the partition of the starting polygon into sub-polygons for mask writing.
    Type: Grant
    Filed: January 19, 2008
    Date of Patent: April 3, 2012
    Assignee: Synopsys, Inc.
    Inventors: Qing Su, Yongqiang Lu, Charles C. Chiang
  • Patent number: 8151233
    Abstract: Methods, computer programs, and systems for designing an electronic component are presented. One method calculates a first Simultaneous Switching Noise (SSN) on Input/Output (IO) pins using a first configuration of the electronic component. A setting or a placement of a chosen IO pin is changed to obtain a second configuration of the electronic component, and a second SSN on IO pins is obtained based on the results of the first SSN and based on new SSN calculations related to the changed setting or placement. The second SSN on an IO pin, other than the chosen IO pin, is calculated by subtracting from the first SSN on the IO pin the SSN caused by the chosen IO pin calculated in the first SSN, and by adding an incremental SSN caused by the chosen IO pin on the pin in the second configuration. The method further includes the operation of creating a design for the electronic component with either the first or the second configuration based on the results of the first and the second SSN.
    Type: Grant
    Filed: April 7, 2009
    Date of Patent: April 3, 2012
    Assignee: Altera Corporation
    Inventors: Navid Azizi, Joshua David Fender
  • Publication number: 20120079444
    Abstract: A computer aided design system comprises a dividing module, a storage, an interface creating module, a selecting module, and a display module. The dividing module divides the names into groups according to a predetermined rule. The group comprises a plurality of the targets set on the different layers. The storage records the relationship between the groups and the targets. The interface creating module creates a user interface base on the groups and selects at least one group in the same user interface from the operation of the user. The selecting module selects targets according to the selected groups. The display module displays the selected targets.
    Type: Application
    Filed: June 19, 2011
    Publication date: March 29, 2012
    Applicants: HON HAI PRECISION INDUSTRY CO., LTD., HONG FU JIN PRECISION INDUSTRY (SHENZHEN) CO., LTD.
    Inventor: MIAO-LING ZHANG
  • Publication number: 20120079446
    Abstract: A semiconductor module made from a compound semiconductor or diamond and loaded with high performance power semiconductor devices can be obtained at low cost. In a semiconductor module, four (semiconductor chips) of same specifications are arranged in array, two longitudinally and two transversally, on a single lead frame. Achieving a high yield of manufacturing diode chips and reducing the unuseful area of diode chips need to be satisfied at the same time to obtain such a semiconductor module at low cost. The use of an index, which is the product of the yield YDie of manufacturing chips and the active region area ratio RA is effective for determining them. Thus, semiconductor modules can be obtained at a high yield by selecting a chip size that makes the index close to a peak value depending on the crystal defect density of a wafer to be used.
    Type: Application
    Filed: September 21, 2011
    Publication date: March 29, 2012
    Inventors: Hiromichi KUMAKURA, Hiroyuki Ogino, Kenji Fujimoto, Masanori Ueno