Defect (including Design Rule Checking) Patents (Class 716/52)
  • Patent number: 8490032
    Abstract: Techniques and systems for converting a non-bandlimited pattern layout into a band-limited pattern image are described. During operation, the system receives the non-bandlimited pattern layout which comprises one or more polygons. The system further receives an anti-aliasing filter (AAF) kernel, wherein the AAF kernel is configured to convert a non-bandlimited pattern into a band-limited pattern. The system then constructs an AAF lookup table for the AAF kernel, wherein the AAF lookup table contains precomputed values for a set of convolution functions which are obtained by convolving a set of basis functions with the AAF kernel. Next, the system creates a sampled pattern layout by applying a grid map over the pattern layout. The system then obtains the band-limited pattern image by using the AAF lookup table to convolve the AAF kernel with each grid location in the sampled pattern layout.
    Type: Grant
    Filed: September 28, 2010
    Date of Patent: July 16, 2013
    Assignee: Synopsys, Inc.
    Inventors: Michael L. Rieger, Micheal Cranford, John P. Stirniman
  • Patent number: 8490031
    Abstract: A method for manufacturing a semiconductor device includes the steps of reading physical layout data of a circuit to be manufactured and performing calculation to modify a pattern width in the physical layout data by a predetermined amount; reading a physical layout and analyzing a pattern that is predicted to remain as a step difference of a predetermined amount or more in a case where a planarization process is performed on a planarizing film on a pattern by a quantitative calculation by using at least one of a density of patterns, a pattern width, and a peripheral length of a range of interest and a range in the vicinity of the range of interest; and reading data of the pattern that is predicted to remain as a step difference, and making a correction to a layout in which a step difference of a predetermined amount or more does not remain.
    Type: Grant
    Filed: April 30, 2010
    Date of Patent: July 16, 2013
    Assignee: Sony Corporation
    Inventors: Kyoko Izuha, Shunichi Shibuki, Takashi Sakairi
  • Patent number: 8484599
    Abstract: Systems and techniques for performing parasitic extraction on a via array are described. If the via array is a single row or column via array, the system identifies a first via and a last via in the via array, and merges a set of vias between the first via and the last via into a center via. If the via array is a M×N (M?2, N?2) via array, the system merges the vias as follows: the first row and the last row of vias in the via array into a first row via and a last row via, respectively; the first column and the last column of vias in the via array into a first column via and a last column via, respectively; and a set of vias between the first and last rows and the first and last columns into a center via.
    Type: Grant
    Filed: January 31, 2012
    Date of Patent: July 9, 2013
    Assignee: Synopsys, Inc.
    Inventor: Krishnakumar Sundaresan
  • Patent number: 8484584
    Abstract: At least one pattern of a photomask is identified that has a likelihood of causing collapse of a microelectronic device feature that is formed using the photomask, due to surface tension of a solution that is applied to the feature during manufacture of the microelectronic device. The patterns of the photomask are then modified to reduce the likelihood of the collapse. The photomask may be formed and the photomask may be used to manufacture microelectronic devices. Related methods, systems, devices and computer program products are described.
    Type: Grant
    Filed: October 26, 2011
    Date of Patent: July 9, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Mi-kyeong Lee, Seong-woon Choi
  • Patent number: 8484586
    Abstract: A system and method is provided which predicts problematic areas for lithography in a circuit design, and more specifically, which uses modeling data from a modeling tool to accurately predict problematic lithographic areas. The method includes identifying surface heights of plurality of tiles of a modeled wafer, and mathematically mimicking a lithographic tool to determine best planes of focus for exposure for the plurality of tiles.
    Type: Grant
    Filed: June 14, 2012
    Date of Patent: July 9, 2013
    Assignee: Mentor Graphics Corporation
    Inventors: Timothy A. Brunner, Stephen E. Greco, Bernhard R. Liegl, Hua Xiang
  • Publication number: 20130174102
    Abstract: An intelligent defect diagnosis method for manufacturing fab is provided. The intelligent defect diagnosis method comprises: receiving pluralities of defect data, design layouts and fabrication data; analyzing the defect data, design layouts, and the fabrication data by a defect analysis system, wherein the analyzing step further contains the sub-steps: segmenting and grouping the design layouts into pluralities of multi-pattern group cells to construct LPG cell based pattern groups; introducing the defect data; segmenting defect image into pluralities of defect and pattern contours; mapping the defect data to each multi-pattern group cell to form the LPG based defect composite pattern group; performing coordinate conversion and pattern match between image contour and design layout for coordinate correction; fulfilling CAA with defect contour, pattern contour and design layout, and obtaining corresponding defect yield; classifying the defect type of defect data through defect image classification analysis.
    Type: Application
    Filed: December 28, 2011
    Publication date: July 4, 2013
    Applicant: ELITETECH TECHNOLOGY CO.,LTD.
    Inventor: IYUN LEU
  • Patent number: 8479125
    Abstract: The manufacturing of integrated circuits relies on the use of lithography simulation to predict the image of the mask created on the wafer. Such predictions can be used for example to assess the quality of the images, verify the manufacturability of such images, perform using OPC necessary correction of the mask data to achieve images close to the targets, optimize the printing parameters such as the illumination source, or globally optimize the source and the mask to achieve better printability. This disclosure provides a technique based on the association of at least one kernel function per source region or source point. Each kernel function can be directly convoluted with a mask image to create a prediction of the wafer image. As the kernel functions are associated with the source, the source can be easily changed to create new models. The optical system can be fully described by computing the possible kernels for all possible source points and all possible numerical apertures.
    Type: Grant
    Filed: March 24, 2010
    Date of Patent: July 2, 2013
    Inventor: Christophe Pierrat
  • Patent number: 8473872
    Abstract: A mechanism is provided for optimizing a photolithograph mask. A given target pattern is received. An initial fictitious mask is generated from the given target pattern and an initial value of ?2 is selected where the initial value of ?2 is used to determine a light intensity and a wafer image. The light intensity for each pixel in the initial fictitious mask and the wafer image for each pixel in the initial fictitious mask are then determined. A determination is then made as to whether a convergence has been achieved by comparing the wafer image generated from the fictitious mask to the given target pattern. Responsive to a convergence of the wafer image generated from the fictitious mask to the given target pattern, a final mask is generated to use to transfer an image to a wafer.
    Type: Grant
    Filed: June 25, 2012
    Date of Patent: June 25, 2013
    Assignee: International Business Machines Corporation
    Inventors: Ying Liu, Sani R. Nassif, Xiaokang Shi
  • Patent number: 8473878
    Abstract: During a calculation technique, at least a portion of a target pattern associated with an integrated-circuit design is modified so that polygons in the target pattern, which represent features in the design, result in acceptable accuracy during a photolithographic process that fabricates the target pattern on a semiconductor die. In particular, a set of polygon parameters associated with the polygons are modified, as needed, so that a cost function that corresponds to a difference between a modified target pattern and an estimated target pattern produced during the photolithographic process meets a termination criterion. A mask pattern that can fabricate the modified target pattern on the semiconductor die is calculated using an inverse optical calculation in which the modified target pattern is at an image plane of an optical path associated with the photolithographic process and the mask pattern is at an object plane of the optical path.
    Type: Grant
    Filed: November 28, 2011
    Date of Patent: June 25, 2013
    Assignee: Synopsys, Inc.
    Inventors: Tatung Chow, Changqing Hu, Donghwan Son, David H. Kim, Thomas C. Cecil
  • Patent number: 8473874
    Abstract: A method for automatically generating and prioritizing several design solutions that resolve a double patterning (DP) loop violation in an IC design layout. The method of some embodiments receives a DP loop violation marker and identifies pairs of edges of shapes that form a double patterning loop based on the DP loop violation marker. For each pair of edges that violates the design rule, the method generates one or more design solutions. Each design solution moves a single edge or both edges to resolve the violation. The method of some embodiments computes the cost of applying each design solution to the IC design layout and prioritizes the generated solutions for all the identified pairs of edges based on the computed cost for each solution. The method in some embodiments then selects a solution from the prioritized solutions and applies the selected solution to the design layout.
    Type: Grant
    Filed: August 22, 2011
    Date of Patent: June 25, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Karun Sharma, Min Cao, Roland Ruehl
  • Patent number: 8473885
    Abstract: A design system for designing complex integrated circuits (ICs), a method of IC design and program product therefor. A layout unit receives a circuit description representing portions in a grid and glyph format. A checking unit checks grid and glyph portions of the design. An elaboration unit generates a target layout from the checked design. A data prep unit prepares the target layout for mask making. A pattern caching unit selectively replaces portions of the design with previously cached results for improved design efficiency.
    Type: Grant
    Filed: March 7, 2012
    Date of Patent: June 25, 2013
    Assignee: International Business Machines Corporation
    Inventors: John M. Cohn, James A. Culp, Ulrich A. Finkler, Fook-Luen Heng, Mark A. Lavin, Jin Fuw Lee, Lars W. Liebmann, Gregory A. Northrop, Nakgeuon Seong, Rama N. Singh, Leon Stok, Pieter J. Woeltgens
  • Patent number: 8473873
    Abstract: A method includes receiving data representing a layout of a DPT-layer of an integrated circuit generated by a place and route tool. The layout includes a plurality of polygons to be formed in the DPT-layer by a multi-patterning process. First and second ones of the plurality of polygons to be formed using first and second photomasks, respectively are identified. Any intervening polygons along a first path connecting the first polygon to the second polygon, and separator regions between adjacent polygons along the first path are identified. The separator regions have sizes less than a minimum threshold distance between polygons formed on the first photomask. The separator regions are counted. A multi-patterning conflict is identified, if the count of separator regions is even, prior to assigning all remaining ones of the plurality of polygons to the first or second masks.
    Type: Grant
    Filed: September 2, 2011
    Date of Patent: June 25, 2013
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chin-Chang Hsu, Ying-Yu Shen, Wen-Ju Yang, Hsiao-Shu Chao, Yi-Kan Cheng
  • Patent number: 8472697
    Abstract: In the case of die-to-die comparison, threshold processing units process the differential image between the image of a sample chip and the images of left and right adjacent chips using a second threshold value lower than a first threshold value thereby to determine a defect candidate for the sample chip. Further, threshold processing units process the differential image using the first threshold value. The defect candidates which develops a signal not smaller than the first threshold is detected as a defect. Also in the cell-to-cell comparison, the differential image is first processed by the second threshold value to determine a defect candidate, and the differential image is further processed by the first threshold value. The defect candidates which develops a signal not smaller than the first threshold value is detected as a defect.
    Type: Grant
    Filed: January 19, 2012
    Date of Patent: June 25, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventor: Kei Shimura
  • Publication number: 20130159945
    Abstract: The invention provides systems and methods for layout decomposition to produce exposure layouts that can be used to perform double patterning lithography (DPL). Preferred embodiment methods of the invention are executed by a computer and provide alternate methods for layout decomposition for double patterning lithography (DPL) using integer linear programming (ILP) formulations. Embodiments of the invention meet a key optimization goals, which is to reduce the total cost of layout decomposition, considering the abovementioned aspects that contribute to cost of prior conventional DPL techniques. Embodiments of the invention provide integer linear programming (ILP), phase conflict detection (PCD) and node election bipartization (NBD) formulations for the optimization of DPL layout decomposition, with a process-aware cost function that avoids small jogging line-ends, and maximizes overlap at dividing points of polygons. The cost function can also make preferential splits at landing pads, junctions and long runs.
    Type: Application
    Filed: February 13, 2013
    Publication date: June 20, 2013
    Applicant: The Regents of the University of California
    Inventor: The Regents of the University of California
  • Publication number: 20130159944
    Abstract: A flare map calculating method of an embodiment calculates an optical image intensity distribution in each division region set in a pattern region. Furthermore, an average value of the optical image intensity distribution is calculated in each division region. A pattern or plural patterns, which has a pattern density corresponding to the average value, is calculated as a corresponding density pattern in each division region. Furthermore, a density map, which represents a pattern density distribution within the pattern region, is generated based on the corresponding density pattern, and a flare map representing a flare intensity distribution within the pattern region is calculated by convolution integral of the density map and a point spread function.
    Type: Application
    Filed: September 14, 2012
    Publication date: June 20, 2013
    Inventors: Taiga UNO, Toshiya KOTANI, Satoshi TANAKA
  • Publication number: 20130159943
    Abstract: A method, system, and computer program product for machine learning approach for detecting and correcting lithographic hot-spots in an integrated circuit (IC) design are provided in the illustrative embodiments. A layout corresponding to the IC design is received at a machine learning model (ML model). At the ML model using a hardware component, a set of input objects is identified corresponding to a target shape in the layout. A retargeting value is predicted for the target shape using the set of input objects, such that applying the retargeting value to the target shape in the layout causes the target shape to be modified into a modified target shape, wherein printing the modified target shape instead of the target shape eliminates a lithographic hot-spot that would otherwise occur from printing the target shape in a printed circuit corresponding to the IC design.
    Type: Application
    Filed: December 16, 2011
    Publication date: June 20, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kanak Behari Agarwal, Shayak Banerjee
  • Patent number: 8468471
    Abstract: Systems and methods for process aware metrology are provided.
    Type: Grant
    Filed: March 2, 2012
    Date of Patent: June 18, 2013
    Assignee: KLA-Tencor Corp.
    Inventors: Xuefeng Liu, Yung-Ho Alex Chuang, John Fielden, Bin-Ming Benjamin Tsai, Jingjing Zhang
  • Patent number: 8468474
    Abstract: A method includes retrieving first layouts of an integrated circuit from a non-transitory computer-readable medium. The first layouts include a via pattern in a via layer, and a metal line pattern in a metal layer immediately over the via layer. The metal line pattern has an enclosure to the via pattern. The enclosure is increased to a second enclosure to generate second layouts of the integrated circuit.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: June 18, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jiun-Jie Huang, Chi-Yen Lin, Ling-Sung Wang
  • Publication number: 20130152026
    Abstract: A technique for providing information about defects in a mask pattern is described. In this technique, defects in the mask pattern may be determined based on differences between a calculated pattern produced at an image plane in the photolithographic process, when the mask pattern, illuminated by an associated source pattern, is at an object plane in the photolithographic process, and a target pattern that excludes the defects. Then the defect information may be provided to the user, such as a spatial map of the determined defects, where the spatial map is associated with at least the portion of the mask pattern.
    Type: Application
    Filed: December 7, 2011
    Publication date: June 13, 2013
    Applicant: Luminescent Technologies, Inc.
    Inventors: Jun PENG, Guoqiang Bai, Xin Zhou
  • Publication number: 20130152027
    Abstract: A method of for compensating for variations in structures of an integrated circuit. The method includes (a) selecting a mask design shape and selecting a region of the mask design shape; (b) applying a model-based optical proximity correction to all of the mask design shape; and after (b), (c) applying a rules-based optical proximity correction to the selected region of the mask design shape.
    Type: Application
    Filed: February 5, 2013
    Publication date: June 13, 2013
    Applicant: International Business Machines Corporation
    Inventor: International Business Machines Corporation
  • Patent number: 8464194
    Abstract: A method, system, and computer program product for machine learning approach for detecting and correcting lithographic hot-spots in an integrated circuit (IC) design are provided in the illustrative embodiments. A layout corresponding to the IC design is received at a machine learning model (ML model). At the ML model using a hardware component, a set of input objects is identified corresponding to a target shape in the layout. A retargeting value is predicted for the target shape using the set of input objects, such that applying the retargeting value to the target shape in the layout causes the target shape to be modified into a modified target shape, wherein printing the modified target shape instead of the target shape eliminates a lithographic hot-spot that would otherwise occur from printing the target shape in a printed circuit corresponding to the IC design.
    Type: Grant
    Filed: December 16, 2011
    Date of Patent: June 11, 2013
    Assignee: International Business Machines Corporation
    Inventors: Kanak Behari Agarwal, Shayak Banerjee
  • Patent number: 8464191
    Abstract: A system and method for identifying circuit components of an integrated circuit includes a processor identifying geometric characteristics of an integrated circuit and sorting the geometric characteristics by order of occurrence of each geometric characteristic. Co-occurring arrangements of the geometric characteristics are then identified and used to identify a standard cell. The geometric characteristics of the standard cell may then be compared to the geometric characteristics of a known cell. Each electrically significant geometric characteristic of the standard cell can be compared to the electrically significant geometric characteristics of the known cell. If the standard cell matches the known cell an instance of the standard cell can be placed in a layout. Once placing the standard cell in the layout a netlist can be extracted.
    Type: Grant
    Filed: July 21, 2011
    Date of Patent: June 11, 2013
    Assignee: R3 Logic, Inc.
    Inventor: Lisa G. McIlrath
  • Patent number: 8464185
    Abstract: Methods for approximating simulated contours are provided herein. With some implementations, a function that incorporates a Gaussian proximity kernel to approximate the electron beam exposure effects is used to simulate a printed image. Subsequently, one or more corners of the simulated printed image may be approximated by two or more straight edges. In various implementations, the number of straight edges used to approximate the corner as well as the orientation of the one or more straight edges is determined based upon the characteristics of the corner, such as, the corner having an obtuse angle larger than 135 degrees for example. With various implementations, two straight edges are used to approximate the corner, the orientation of the two straight edges being determined by a first point, a second point, and a shared corner point.
    Type: Grant
    Filed: November 24, 2009
    Date of Patent: June 11, 2013
    Assignee: Mentor Graphics Corporation
    Inventor: Yuri Granik
  • Patent number: 8464187
    Abstract: In accordance with the present method and system for improving integrated circuit layout, a local process modification is calculated from simulated process response variables at a set of control points. Said modification values are incorporated into the layout constraints imposed by design rules and design intent to account for manufacturing friendliness. Solving the updated constraint equation with user specified objective function produces a new layout with increased manufacturability. The new layout may further contain data tags that enable optimal process correction to be performed on selected locations, leading to reduction in data size and mask complexity. Also in accordance with this invention, physical design tools are enhanced to read and process anisotropic design rules.
    Type: Grant
    Filed: July 12, 2012
    Date of Patent: June 11, 2013
    Inventor: Qi-De Qian
  • Patent number: 8458624
    Abstract: A method of manufacturing semiconductor devices is disclosed. The method includes determining fractured shots that do not overlap each other based on a final pattern; determining overlapping shots that are shots that overlap each other based on the final pattern; generating area difference data by comparing the areas of the overlapping shots and the fractured shots with each other; calculating a radiation influenced pattern based on the area difference data; and correcting the overlapping shots based on the radiation influenced pattern.
    Type: Grant
    Filed: July 27, 2011
    Date of Patent: June 4, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin Choi, Sang-hee Lee, Seong-june Min
  • Patent number: 8458622
    Abstract: A technique for calculating a second aerial image associated with a photo-mask that can be used to determine whether or not the photo-mask (which may include defects) is acceptable for use in a photolithographic process is described. In particular, using a first aerial image produced by the photo-mask when illuminated using a source pattern and an inspection image of the photo-mask, a mask pattern corresponding to the photo-mask is determined. For example, the first aerial image may be obtained using an aerial image measurement system, and the inspection image may be a critical-dimension scanning-electron-microscope image of the photo-mask. This image, which has a higher resolution than the first aerial image, may indicate spatial-variations of a magnitude of the transmittance of the photo-mask. Then, the second aerial image may be calculated based on the determined mask pattern using a different source pattern than the source pattern.
    Type: Grant
    Filed: November 29, 2010
    Date of Patent: June 4, 2013
    Assignee: Luminescent Technologies, Inc.
    Inventors: Linyong Pang, Danping Peng, Vikram Tolani
  • Patent number: 8458620
    Abstract: A mechanism is provided for optimizing a photolithograph mask. A given target pattern is received. An initial fictitious mask is generated from the given target pattern and an initial value of ?2 is selected where the initial value of ?2 is used to determine a light intensity and a wafer image. The light intensity for each pixel in the initial fictitious mask and the wafer image for each pixel in the initial fictitious mask are then determined. A determination is then made as to whether a convergence has been achieved by comparing the wafer image generated from the fictitious mask to the given target pattern. Responsive to a convergence of the wafer image generated from the fictitious mask to the given target pattern, a final mask is generated to use to transfer an image to a wafer.
    Type: Grant
    Filed: June 25, 2012
    Date of Patent: June 4, 2013
    Assignee: International Business Machines Corporation
    Inventors: Ying Liu, Sani R. Nassif, Xiaokang Shi
  • Patent number: 8457388
    Abstract: A method and a system for searching for a global minimum are provided. First, a subclass of a plurality of space points in a multidimensional space is clustered into a plurality of clusters through a clustering algorithm, wherein each of the space points is corresponding to an error value in an evaluation function. Then, ellipsoids for enclosing the clusters in the multidimensional space are respectively calculated. Next, a designated space corresponding to each of the ellipsoids is respectively inputted into a recursive search algorithm to search for a local minimum among the error values corresponding to the space points within each designated space. Finally, the local minimums of all the clusters are compared to obtain the space point corresponding to the minimum local minimum.
    Type: Grant
    Filed: August 4, 2009
    Date of Patent: June 4, 2013
    Assignee: Industrial Technology Research Institute
    Inventors: Wen-Chao Chen, Zen Chen, Chiao-Wen Cheng
  • Patent number: 8455159
    Abstract: A method for correcting the critical dimension (CD) of a phase shift mask includes calculating an intensity slope quantifying a slope of an intensity waveform of secondary electrons emitted by scanning an electron beam spot to a hard mask pattern on a phase shift mask on a substrate, extracting a delta critical dimension (CD) value, which is equal to a CD difference between the phase shift pattern and the hard mask pattern, as a delta CD value corresponding to the intensity slope, and correcting the CD of the phase shift mask by using the extracted delta CD value.
    Type: Grant
    Filed: September 9, 2011
    Date of Patent: June 4, 2013
    Assignee: SK Hynix Inc.
    Inventor: Choong Han Ryu
  • Publication number: 20130139117
    Abstract: Various aspects of this disclosure relate to increasing pattern density in a circuit layout design of a circuit layer so as to control the thickness of material in a manufactured integrated circuit. For example, a layer in circuit design may be divided into separate areas, and a target thickness range may be established for all of the tiles in the integrated circuit design. Each area may be analyzed to determine if it has a sufficient pattern density for a thickness estimation model to accurately estimate its expected material thickness upon manufacture. Each tile may be analyzed to determine if the expected thickness for that tile is within the target thickness range.
    Type: Application
    Filed: September 14, 2012
    Publication date: May 30, 2013
    Applicant: Mentor Graphics Corporation
    Inventors: Shohdy Abd Elkader, Craig M. Larsen
  • Patent number: 8453075
    Abstract: A method for proactively preventing lithographic problems is disclosed, which employs information generated from layout patterns including hot spots in a first technology node to identify hot spots in a second technology node employing a scaled down minimum dimension. In this proactive approach, problematic patterns or complex product geometries are identified in a chip design layout of the second technology node based on detection, in the chip design layout, of topological features that are similar to topological features of known hot spots in the first technology node. The identified patterns are potential hot spots in the chip design layout for the second technology node. Known hot spots in layout patterns in the first technology node are topologically categorized to provide a database for performing the fault detection and diagnosis on the chip design layout.
    Type: Grant
    Filed: September 2, 2011
    Date of Patent: May 28, 2013
    Assignee: International Business Machines Corporation
    Inventors: Wei Guo, Alan J. Leslie
  • Patent number: 8453074
    Abstract: A mechanism is provided for optimizing a photolithograph mask. A given target pattern is received. An initial fictitious mask is generated from the given target pattern and an initial value of ?2 is selected where the initial value of ?2 is used to determine a light intensity and a wafer image. The light intensity for each pixel in the initial fictitious mask and the wafer image for each pixel in the initial fictitious mask are then determined. A determination is then made as to whether a convergence has been achieved by comparing the wafer image generated from the fictitious mask to the given target pattern. Responsive to a convergence of the wafer image generated from the fictitious mask to the given target pattern, a final mask is generated to use to transfer an image to a wafer.
    Type: Grant
    Filed: June 25, 2012
    Date of Patent: May 28, 2013
    Assignee: International Business Machines Corporation
    Inventors: Ying Liu, Sani R. Nassif, Xiaokang Shi
  • Patent number: 8448115
    Abstract: Aspects of the invention relate to techniques for extracting impedance values associated with through-silicon vias in an integrated circuit system. A function fitting process is performed to generate parameters of a representation for magneto-quasi-static dyadic vector potential Green's functions at a plurality of frequencies of interest based on integrated circuit manufacturing process information. Based on the generated parameters, a set of electric current basis functions and the layout information for a layout design of interest, matrix elements of a matrix for each frequency in the plurality of frequencies of interest may be computed. The matrix is a part of a linear system that formulates a relationship of electric current and electric potential difference in various regions associated with the through-silicon vias in the layout design. Based on the matrix, impedance values associated with the through-silicon vias are computed.
    Type: Grant
    Filed: June 18, 2012
    Date of Patent: May 21, 2013
    Assignee: Mentor Graphics Corporation
    Inventors: Vasileios Kourkoulos, Roberto Suaya
  • Patent number: 8448098
    Abstract: A method, system, and computer usable program product for fracturing a continuous mask usable in photolithography are provided in the illustrative embodiments. A first origin point is selected from a set of points on an edge in the continuous mask. A first end point is identified on the edge such that a separation metric between the first origin point and the first end point is at least equal to a threshold value. Several alternatives are determined for fracturing using the first origin point and the first end point. A cost associated with each of the several alternatives is computed and one of the alternatives is selected as a preferred fracturing. Several pairs of origin points and end points are formed from the set of points. Each pair has a cost of a preferred fracturing between the pair. The continuous mask is fractured using a subset of the several pairs.
    Type: Grant
    Filed: April 23, 2012
    Date of Patent: May 21, 2013
    Assignee: International Business Machines Corporation
    Inventors: Ying Liu, David Osmond Melville, Alan E Rosenbluth, Kehan Tian
  • Patent number: 8448100
    Abstract: A computer implemented system comprises: a tangible, non-transitory computer readable storage medium encoded with data representing an initial layout of an integrated circuit pattern layer having a plurality of polygons. A special-purpose computer is configured to perform the steps of: analyzing in the initial layout of an integrated circuit pattern layer having a plurality of polygons, so as to identify a plurality of multi-patterning conflict cycles in the initial layout; constructing in the computer a respective multi-patterning conflict cycle graph representing each identified multi-patterning conflict cycle; classifying each identified multi-patterning conflict cycle graph in the computer according to a number of other multi-patterning conflict cycle graphs which enclose that multi-patterning conflict cycle graph; and causing a display device to graphically display the plurality of multi-patterning conflict cycle graphs according to their respective classifications.
    Type: Grant
    Filed: April 11, 2012
    Date of Patent: May 21, 2013
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Hung Lung Lin, Chin-Chang Hsu, Ying-Yu Shen, Wen-Ju Yang, Hsiao-Shu Chao, Yi-Kan Cheng, Chin-Hsiung Hsu, Huang-Yu Chen, Yi-Chuin Tsai, Yuan-Te Hou, Chung-Hsing Wang
  • Patent number: 8448096
    Abstract: Disclosed is a method and system for processing the tasks performed by an IC layout processing tool in parallel. In some approaches, the IC layout is divided into a plurality of layout portions and one or more of the layout portions are processed in parallel, where geometric select operations are performed in which data for different layout portions may be shared between different processing entities. One approach includes the following actions: select phase one operation for performing initial select actions within layout portions; distributed regioning action for local regioning; distributed regioning action for global regioning and binary select; count select aggregation for count-based select operations; and select phase two operations for combining results of selecting of internal shapes and interface shapes.
    Type: Grant
    Filed: June 30, 2006
    Date of Patent: May 21, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Xiaojun Wang, Roland Ruehl, Li-Ling Ma, Mathew Koshy, Tianhao Zhang, Udayan Gumaste, Krzysztof Antoni Kozminski, Haifang Liao, Xinming Tu, Xu Zhu
  • Patent number: 8448101
    Abstract: The invention relates to a simulation and/or layout process for vertical power transistors as DMOS or IGBT with variable channel width and variable gate drain capacity which can be drawn and/or designed by the designer with the respectively desired parameters of channel width and gate drain capacity and the parameters of volume resistance and circuit speed, which are correlated therewith, and whose electrical parameters can be described as a function of the geometrical gate electrode design. Here, both discrete and integrated vertical transistors may be concerned.
    Type: Grant
    Filed: October 25, 2006
    Date of Patent: May 21, 2013
    Assignee: X-FAB Semiconductor Foundries AG
    Inventors: Ralf Lerner, Wolfgang Miesch
  • Patent number: 8448097
    Abstract: Roughly described, a design rule data set includes rules on derived layers. The rules are checked by traversing the corners of physical shapes, and for each corner, populating a layout topology database with values gleaned from that corner location, including values involving derived layers. After the layout topology database is populated, the values are compared to values in the design rule data set to detect any design rule violations, including violations of design rules defined on derived layers. Violations are reported in real time during manual editing of the layout. Preferably corner traversal is performed using scan lines oriented perpendicularly to edge orientations, scanning in the direction of the edge orientations. Scans stop only at corner positions on physical layers, and populate the layout topology database with what information can be gleaned based on the current scan line, including information about derived layers. The scans need not reach corners simultaneously.
    Type: Grant
    Filed: August 16, 2011
    Date of Patent: May 21, 2013
    Assignee: Synopsys, Inc.
    Inventors: Zuo Dai, Dick Liu, Ming Su
  • Publication number: 20130125070
    Abstract: A technique for selecting a subset of determined defects in a mask pattern is described. In this technique, defects in the mask pattern may be determined based on differences between a pattern produced at an image plane in a photolithographic process, when the mask pattern, illuminated by an associated source pattern, is at an object plane in the photolithographic process, and a target pattern that excludes the defects. These defects may be classified by associating them with types of geometric features in the target pattern and/or the mask pattern. Moreover, the subset may be selected by filtering the defects associated with the types of geometric features. For example, the subset may defects corresponding to the differences that exceed filtering values that are associated with the types of geometric features.
    Type: Application
    Filed: November 15, 2011
    Publication date: May 16, 2013
    Inventors: Guoqiang BAI, Jinguang Li, Zijan Yuan, Peiyan Liang
  • Patent number: 8443308
    Abstract: Extreme ultraviolet (EUV) lithography flare calculation and compensation is disclosed herein. A method of calculating flare for a mask for use in EUV lithography includes decomposing the flare power spectrum density (PSD) into a low frequency component and a high frequency component. Further, the method includes receiving a plurality of layouts in a flare map generator. Each of the plurality of layouts corresponds to a chip pattern location on the mask. Moreover, the method includes generating, using the flare map generator, a low frequency flare map for the mask from the low frequency component by using fast Fourier transform (FFT).
    Type: Grant
    Filed: May 2, 2011
    Date of Patent: May 14, 2013
    Assignee: Synopsys Inc.
    Inventors: James Shiely, Hua Song
  • Patent number: 8443307
    Abstract: The present invention relates to a method for tuning lithography systems so as to allow different lithography systems to image different patterns utilizing a known process that does not require a trial and error process to be performed to optimize the process and lithography system settings for each individual lithography system. According to some aspects, the present invention relates to a method for a generic model-based matching and tuning which works for any pattern. Thus it eliminates the requirements for CD measurements or gauge selection. According to further aspects, the invention is also versatile in that it can be combined with certain conventional techniques to deliver excellent performance for certain important patterns while achieving universal pattern coverage at the same time.
    Type: Grant
    Filed: November 5, 2009
    Date of Patent: May 14, 2013
    Assignee: ASML Netherlands B.V.
    Inventors: Yu Cao, Hanying Feng, Jun Ye
  • Patent number: 8443310
    Abstract: A pattern correcting method of an embodiment computes a distribution of pattern coverages on a design layout of a circuit pattern in the vicinity of a position that becomes an error pattern in a case where an on-substrate pattern is formed. Then, an area on the design layout in which a difference in the distribution of the pattern coverages becomes small by adding an addition pattern is set as an addition area. Next, addition pattern candidates to be added to the addition area are generated, an addition pattern to be added to the design layout is selected from the candidates on the basis of a predetermined selection criterion, and the addition pattern is added to the addition area.
    Type: Grant
    Filed: September 20, 2011
    Date of Patent: May 14, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masanari Kajiwara, Toshiya Kotani, Sachiko Kobayashi, Hiromitsu Mashita, Fumiharu Nakajima
  • Patent number: 8438507
    Abstract: A system and methods are provide for modeling the behavior of a lithographic scanner and, more particularly, a system and methods are provide using thresholds of an image profile to characterize through-pitch printing behavior of a lithographic scanner. The method includes running a lithographic model for a target tool and running a lithographic model on the matching tool for a plurality of different settings using lens numerical aperture, numerical aperture of the illuminator and annular ratio of a pattern which is produced by an illuminator. The method then selects the setting that most closely matches the output of the target tool.
    Type: Grant
    Filed: September 30, 2009
    Date of Patent: May 7, 2013
    Assignees: Nikon Corporation, Nikon Precision Inc.
    Inventors: Stephen P. Renwick, Koichi Fujii
  • Patent number: 8438527
    Abstract: According to one embodiment, an original plate evaluation method is disclosed. The original plate includes a substrate and N patterns differing from one another in shape. The method includes selecting N1 patterns from the N patterns based on first criterion, obtaining measured values for the N1 patterns, performing a decision whether the obtained measured values satisfy first specification value, selecting N2 patterns from the N patterns based on second criterion, predicting shapes of transfer patterns corresponding to N2 patterns, performing a decision whether the predicted shapes satisfy second specification value, and evaluating the plate based on the decision.
    Type: Grant
    Filed: March 22, 2012
    Date of Patent: May 7, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Satomi Nakamura, Toshiya Kotani, Kazuhito Kobayashi, Akiko Mimotogi, Chikaaki Kodama
  • Patent number: 8438505
    Abstract: The present disclosure involves a method. The method includes decomposing a layout of a circuit into a plurality of patterns. The method includes generating a plurality of contours to represent the plurality of patterns after the patterns have been subjected to a manufacturing process. The method includes generating a plurality of polygons that approximate geometries of the contours, respectively. The method includes associating each of the polygons with a respective one of a plurality of pattern elements in a pattern library, wherein the pattern elements each include a shape that resembles the associated polygon and electrical parameters extracted from the shape. The method includes calculating electrical performance of the circuit based on the pattern elements associated with the polygons.
    Type: Grant
    Filed: January 9, 2012
    Date of Patent: May 7, 2013
    Assignee: Taiwan Semicondcutor Manufacturing Company, Ltd.
    Inventors: Kuen-Yu Tsai, Wei-Jhih Hsieh, Bo-Sen Chang
  • Publication number: 20130111418
    Abstract: Provided is a system and method for designing the layout of integrated circuits or other semiconductor devices while directly accessing design rules and a library of design features by interfacing with a GUI upon which the design layout is displayed. The design rules may be directly linked to the design features of the pattern library and imported into the device layout. The design rules may be directly accessed while designing the layout or while conducting a design rule check and the design features from the pattern library may be used in creating the layout.
    Type: Application
    Filed: October 31, 2011
    Publication date: May 2, 2013
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chin-An Chen, Pei-Tzu Mu, Tsung-Chieh Tsai, Juing-Yi Wu, Jyh-Kang Ting
  • Patent number: 8434038
    Abstract: A method of forming a device is disclosed. The method includes providing at least one original artwork file having front end and back end information. The original artwork file includes an original artwork file format. A modified artwork file corresponding to the original artwork file is provided in a first modified artwork file format. The modified artwork file contains back end information. The method also includes checking to ensure that the original and modified artwork files are consistent.
    Type: Grant
    Filed: July 2, 2010
    Date of Patent: April 30, 2013
    Assignee: GLOBALFOUNDRIES Singapore Pte. Ltd.
    Inventors: Raghunathann Ramakrishnan, Zia Ahmed, Raymond Filippi
  • Patent number: 8434033
    Abstract: A mechanism is provided for mask assignment for triple patterning lithography. The mechanism identifies tip-to-tip (TT), tip-to-side (TS), and side-to-side (SS) conflicting parts by design rule dependent projection. The mechanism finds stitch location for TT, TS, and SS conflicts separately. The mechanism colors TT, TS, and SS conflicting parts with mask0/mask1, mask0/mask2, mask1/mask2 coloring cycle with each type colored separately. The mechanism uses existing infrastructure of two-way coloring. As a first objective, the mechanism attempts to minimize conflicts. As a second objective, the mechanism attempts to minimize the number of stitches by assigning the two sides of stitches to the same mask. Once coloring of all conflicting parts is done, the mechanism colors non-conflicting parts to maximize minimum overlap of exposures and to use both colors if two sides are different colors and one color if both sides are the same color.
    Type: Grant
    Filed: September 1, 2011
    Date of Patent: April 30, 2013
    Assignee: International Business Machines Corporation
    Inventors: Rani S. Abou Ghaida, Kanak B. Agarwal, Lars W. Liebmann, Sani R. Nassif
  • Patent number: 8434030
    Abstract: An integrated circuit design and fabrication method includes the following steps. Firstly, an integrated circuit design layout is provided. Then, a first hotspot group and a second hotpot group are searched from the integrated circuit design layout. Then, a hotspot score is acquired according to the first hotspot group, the second hotpot group and a product functionality. If the hotspot score is higher than a criterion, the integrated circuit design layout is corrected according to the first hotspot group and the second hotpot group.
    Type: Grant
    Filed: January 5, 2012
    Date of Patent: April 30, 2013
    Assignee: United Microelectronics Corporation
    Inventors: Hsin-Ming Hou, Ji-Fu Kung
  • Patent number: RE44221
    Abstract: Provided is a method for verifying a pattern of a semiconductor device. In the method, a designed layout of target patterns is provided, and transferring the designed layout on a wafer to form wafer patterns. Wafer patterns image contour is obtain. The image contour for wafer patterns on the designed layout are matched, After edge differences between the designed layout and the wafer patterns image contour are extracted, a checking layout for detecting wafer pattern defects is obtain by adding the edge differences on the designed layout. Defects on the checking layout is identified to verify the patterns in view of processes before fabrication of a photomask.
    Type: Grant
    Filed: July 5, 2012
    Date of Patent: May 14, 2013
    Assignee: Hynix Semiconductor Inc.
    Inventor: Hyun Jo Yang