Defect (including Design Rule Checking) Patents (Class 716/52)
  • Patent number: 8627242
    Abstract: A method for making a photomask layout is provided. A first graphic data of a photomask is provided, wherein the first graphic data includes a first line with a first line end target, a second line with a second line end target and a hole, the first line is aligned with the second line, and the first line, the second line and the hole partially overlap with each other. Thereafter, a retarget step is performed to the first graphic data to obtain a second graphic data, wherein the retarget step includes moving the first line end target and the second line end target in opposite directions away from each other.
    Type: Grant
    Filed: January 30, 2013
    Date of Patent: January 7, 2014
    Assignee: United Microelectronics Corp.
    Inventors: Hui-Fang Kuo, Ming-Jui Chen, Cheng-Te Wang
  • Patent number: 8627240
    Abstract: Methods for integrated electronic and photonic design include laying out electronic and photonic design components in a design environment; adjusting photonic components according to photonic design requirements using a processor; checking design rules for electronic and photonic components according to manufacturing requirements; and adjusting component positioning and size to reconcile conflicts between electronic and photonic components.
    Type: Grant
    Filed: June 28, 2012
    Date of Patent: January 7, 2014
    Assignee: International Business Machines Corporation
    Inventors: Emrah Acar, Michael P. Beakes, William M. Green, Jonathan E. Proesel, Alexander V. Rylyakov, Yurii A. Vlasov
  • Patent number: 8627243
    Abstract: Methods for optimizing conductor patterns for conductors formed by ECP and CMP processes. A method includes receiving layout data for an IC design where electrochemical plating (ECP) processes form patterned conductors in at least one metal layer over a semiconductor wafer; determining from the received layout data a global effects factor corresponding to a global pattern density; determining layout effects factors for unit grid areas corresponding to the pattern density of the at least one metal layer within the unit grid areas, determining local effects factors for each unit grid area; using a computing device, executing an ECP simulator using at least one of the global effects factor and the local effects factors, and using the layout effects factor; outputting an predicted post-ECP hump data map from the ECP simulator; and if indicated by a threshold comparison, modifying the layout data.
    Type: Grant
    Filed: October 12, 2012
    Date of Patent: January 7, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chi-Feng Lin, Yu-Wei Chou, Wen-Cheng Huang, Cheng-I Huang, Ching-Hua Hsieh
  • Publication number: 20140007024
    Abstract: The present disclosure provides one embodiment of an integrated circuit (IC) method. The method includes building a pattern bank including a pattern having an area of interest. The method further includes recognizing that the pattern of the pattern bank corresponds to a pattern of an IC design layout. The method further includes identifying an area of interest of the pattern of the IC design layout that corresponds to the area of interest of the pattern of the pattern bank. The method further includes performing pattern recognition dissection on the area of interest of the pattern of the IC design layout to dissect the area of interest of the pattern of the IC design layout into a plurality of segments. The method further includes after performing pattern recognition dissection, producing a modified IC design layout.
    Type: Application
    Filed: June 27, 2012
    Publication date: January 2, 2014
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Cheng-Lung Tsai, Jui-Hsuan Feng, Sheng-Wen Lin, Wen-Li Cheng, Wen-Chun Huang, Ru-Gun Liu
  • Patent number: 8621398
    Abstract: A method for generating a layout for a FinFET device is disclosed. The method includes receiving an initial layout containing an active region that has an edge extending in a first direction. The method includes designating a portion of the layout as a first region. The first region contains the active region. The method includes designating an elongate portion of the first region as a second region that extends in the first direction. The method includes designating a different elongate portion of the first region as a third region that extends in the first direction and that is adjacent to the second region in a second direction perpendicular to the first direction. The method includes enlarging the active region if the edge of the active region falls inside the third region, and shrinking the active region if the edge of the active region falls outside the third region.
    Type: Grant
    Filed: May 14, 2010
    Date of Patent: December 31, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jeng-Jung Shen, Shao-Ming Yu, Chih-Sheng Chang
  • Patent number: 8621400
    Abstract: In order to enable an evaluation of systematic defects, a method of evaluating systematic defects was configured so as to sample a circuit pattern of a specific layer of a semiconductor device, evaluate the state of superimposition between the sampled circuit pattern and circuit patterns of layers other than the specific layer, using design data, classify the state of superimposition, calculate the ratio thereof as a reference ratio, evaluate the state of superimposition between a pattern in design data corresponding to a defect of the specific layer detected by another inspection apparatus and patterns at positions corresponding to the defects in layers other than the specific layer, classify the evaluated state of superimposition, calculate the ratio of the classification as inspection-result ratio, compare the calculated reference ratio and the calculated inspection-result ratio, and evaluate systematic defects by the comparison between the calculated reference ratio and the calculated inspection-result ra
    Type: Grant
    Filed: September 30, 2011
    Date of Patent: December 31, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Yuji Takagi, Yuichi Hamamura
  • Patent number: 8621402
    Abstract: Various aspects of this disclosure relate to increasing pattern density in a circuit layout design of a circuit layer so as to control the thickness of material in a manufactured integrated circuit. For example, a layer in circuit design may be divided into separate areas, and a target thickness range may be established for all of the tiles in the integrated circuit design. Each area may be analyzed to determine if it has a sufficient pattern density for a thickness estimation model to accurately estimate its expected material thickness upon manufacture. Each tile may be analyzed to determine if the expected thickness for that tile is within the target thickness range.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: December 31, 2013
    Assignee: Mentor Graphics Corporation
    Inventors: Shohdy Abd Elkader, Craig M. Larsen
  • Patent number: 8621399
    Abstract: In a method of designing a semiconductor device, a transistor included in a layout of the semiconductor device may be selected. A biasing data may be set for changing a characteristic of the selected transistor. A design rule check (DRC) process for the layout of the semiconductor device may be performed after ignoring the biasing data. An optical proximity correction (OPC) process for the layout of the semiconductor device may be performed based on the biasing data.
    Type: Grant
    Filed: April 27, 2012
    Date of Patent: December 31, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyung-Tae Do, Yong-Seok Lee, Hyo-Sig Won, Jung-Yun Choi, Jong-Ho Kim
  • Patent number: 8615724
    Abstract: Embodiments of the invention include systems and methods for automatically predicting production yield for a circuit assembly according to attributes of its components and defect data mapped thereto. Embodiments receive a proposed design specification for a circuit assembly, including bill of materials (BOM) and schematic data, at a yield prediction environment. The yield prediction environment maps a set of attributes to each component in the BOM and maps a set of possible defects to each component according to its attributes. Defects may be further mapped to a manufacturing process assigned to populate each component in the circuit assembly. The defects are associated with predicted frequencies of occurrence, which can be used to roll up a yield prediction for the circuit assembly. Embodiments further allow “what-if” analysis to be performed so that different yield prediction results can be compared according to different form factor options and/or different manufacturing process options.
    Type: Grant
    Filed: October 19, 2012
    Date of Patent: December 24, 2013
    Assignee: Flextronics AP LLC
    Inventor: Michael Anthony Durkan
  • Publication number: 20130339910
    Abstract: A method for predicting pattern critical dimensions in a lithographic exposure process includes defining relationships between critical dimension, defocus, and dose. The method also includes performing at least one exposure run in creating a pattern on a wafer. The method also includes creating a dose map. The method also includes creating a defocus map. The method also includes predicting pattern critical dimensions based on the relationships, the dose map, and the defocus map.
    Type: Application
    Filed: August 16, 2013
    Publication date: December 19, 2013
    Applicant: Nikon Precision Inc.
    Inventors: Jacek K. TYMINSKI, Raluca POPESCU
  • Patent number: 8612899
    Abstract: A computer is programmed to use at least one rule to identify from within a layout of an IC design, a set of regions likely to fail if fabricated unchanged. An example of such a rule of detection is to check for presence of two neighbors neither of which fully overlaps a short wire or an end of a long wire. The computer uses at least another rule to change at least one region in the set of regions, to obtain a second layout which is less likely to fail in the identified regions. An example of such a rule of correction is to elongate at least one of the two neighbors. The computer may perform optical rule checking (ORC) in any order relative to application of the rules, e.g. ORC can be performed between detection rules and correction rules i.e. performed individually on each identified region prior to correction.
    Type: Grant
    Filed: January 29, 2010
    Date of Patent: December 17, 2013
    Assignee: Synopsys, Inc.
    Inventors: Alexander Miloslavsky, Gerard Lukpat
  • Patent number: 8612904
    Abstract: Embodiments of the invention provide approaches for optimizing illumination and polarization for advanced optical lithography. Specifically, an illumination pupil plane of an illumination source is bisected into a plurality of elements. Preferred elements of the illumination pupil plane are selected for a set of integrated circuit (IC) design features. An imaging performance of the set of IC design features for the preferred elements is evaluated at different polarization states to determine an optimal illumination and polarization condition for each IC design feature. Imaging performance of the combined IC design features, evaluated at various optimal illumination and polarization outcomes synthesized at different intensity ratios, is reviewed against a set of design tolerance requirements to finalize optical illumination and polarization conditions for the entire IC design.
    Type: Grant
    Filed: November 21, 2012
    Date of Patent: December 17, 2013
    Assignee: GLOBAL FOUNDRIES Inc.
    Inventors: Chang A. Wang, Norman Chen, Chidam Kallingal
  • Patent number: 8612900
    Abstract: Methods, computer program products and apparatuses for optimizing design rules for producing a mask are disclosed, while keeping the optical conditions (including but not limited to illumination shape, projection optics numerical aperture (NA) etc.) fixed. A cross-correlation function is created by multiplying the diffraction order functions of the mask patterns with the eigenfunctions from singular value decomposition (SVD) of a TCC matrix. The diffraction order functions are calculated for the original design rule set, i.e., using the unperturbed condition. ILS is calculated at an edge of a calculated image of a critical polygon using the cross-correlation results and using translation properties of a Fourier transform. The use of the calculated cross-correlation of the mask and the optical system, and the translation property of the Fourier transform for perturbing the design reduces the computation time needed for determining required changes in the design rules.
    Type: Grant
    Filed: March 7, 2011
    Date of Patent: December 17, 2013
    Assignee: ASML Netherlands B.V.
    Inventor: Robert John Socha
  • Publication number: 20130328155
    Abstract: The disclosed aspects relate to controlling density of photomasks. One or more unprintable auxiliary patterns can be placed near a mask feature as well as onto a location of a feature of the main pattern. If a density is measured and is not within an acceptable density range, one or more printable auxiliary patterns can be replaced with unprintable auxiliary patterns and/or one or more unprintable auxiliary patterns can be replaced with printable auxiliary patterns. The disclosed aspects can be utilized to create a photomask and/or a semiconductor device, such as a large scale integrated circuit device, that comprises the photomask.
    Type: Application
    Filed: June 7, 2012
    Publication date: December 12, 2013
    Applicant: TOSHIBA AMERICA ELECTRONIC COMPONENTS, INC.
    Inventor: Kenji Konomi
  • Patent number: 8607169
    Abstract: An intelligent defect diagnosis method for manufacturing fab is provided. The intelligent defect diagnosis method comprises: receiving pluralities of defect data, design layouts and fabrication data; analyzing the defect data, design layouts, and the fabrication data by a defect analysis system, wherein the analyzing step further contains the sub-steps: segmenting and grouping the design layouts into pluralities of multi-pattern group cells to construct LPG cell based pattern groups; introducing the defect data; segmenting defect image into pluralities of defect and pattern contours; mapping the defect data to each multi-pattern group cell to form the LPG based defect composite pattern group; performing coordinate conversion and pattern match between image contour and design layout for coordinate correction; fulfilling CAA with defect contour, pattern contour and design layout, and obtaining corresponding defect yield; classifying the defect type of defect data through defect image classification analysis.
    Type: Grant
    Filed: December 28, 2011
    Date of Patent: December 10, 2013
    Assignee: Elitetech Technology Co., Ltd.
    Inventor: Iyun Leu
  • Patent number: 8607170
    Abstract: A process of generating design rules, OPC rules and optimizing illumination source models for an integrated circuit layout, to form short lines, terminated lines and crossovers between adjacent parallel route tracks, may include the steps of generating a set of template structures which use a set of characteristic design rules, and performing a plurality of source mask optimization (SMO) operations on the set of template structures with different values for the design rules in each SMO operation. In a first embodiment, the SMO operations are run using a predetermined set of values for each of the design rules, spanning a desired range of design rule values. In a second embodiment, the SMO operations are performed in a conditional iterative process in which values of the design rules are adjusted after each iteration based on results of the iteration.
    Type: Grant
    Filed: March 1, 2012
    Date of Patent: December 10, 2013
    Assignee: Texas Instruments Incorporated
    Inventor: James Walter Blatchford
  • Patent number: 8607168
    Abstract: Techniques for model calibration and alignment of measurement contours of printed layout features with simulation contours obtained with a model are disclosed. With various implementations of the invention, contour point errors are determined. Based on the contour point errors and a cost function, values of alignment parameters may be determined. The values of alignment parameters may be used to realign the measurement contours for model calibration. The alignment may be conducted concurrently with model calibration.
    Type: Grant
    Filed: April 22, 2011
    Date of Patent: December 10, 2013
    Assignee: Mentor Graphics Corporation
    Inventors: Ir Kusnadi, Thuy Q Do, Yuri Granik, John L Sturtevant
  • Publication number: 20130326434
    Abstract: The present disclosure provides one embodiment of an integrated circuit (IC) method. The method includes receiving an IC design layout having a pattern, assigning target points to segments of the pattern, and producing first a simulated contour of the pattern based on the assigned target points. The method further includes reassigning the target points to the segments of the pattern based on the first simulated contour of the pattern; producing a second simulated contour of the pattern based on the reassigned target points, and after producing the second simulated contour of the pattern, producing a modified IC design layout.
    Type: Application
    Filed: May 29, 2012
    Publication date: December 5, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventor: Jui-Hsuan Feng
  • Publication number: 20130326436
    Abstract: The invention is directed to a method for checking a die seal ring on a layout. The method comprises steps of receiving a digital database of a layout corresponding to at least a device with a text information corresponding to the layout. Tape-out information corresponding to the layout is received. A checking process is performed according to the digital database of the layout and the tape-out information and, meanwhile, a mask design procedure for designing a mask pattern corresponding to the layout is performed by using the digital database of the layout, the text information and the tape-out information. A result of the checking process is recorded in an inspection table corresponding to the layout.
    Type: Application
    Filed: July 25, 2013
    Publication date: December 5, 2013
    Applicant: United Microelectronics Corp.
    Inventors: Jui-Yun Chang, Jian-Cheng Chen, I-Jen Kao, Chih-Wei Hsu
  • Publication number: 20130326435
    Abstract: An dual function distance metric for pattern matching based hotspot clustering is described. The dual function distance metric can handle patterns containing multiple polygons, is easy to compute, and is tolerant of small variations or shifts of the shapes. Compared with an XOR distance metric pattern clustering, the dual function distance metric can achieve up to 37.5% accuracy improvement with 2×-4× computational cost in the context of cluster analysis. The dual function distance metric is reliable and accurate for characterizing clips (e.g. hotspots), thereby making it desirable for industry applications.
    Type: Application
    Filed: June 17, 2013
    Publication date: December 5, 2013
    Inventors: Charles C. Chiang, Jing Guo, Fan Yang, Subarnarekha Sinha, Xuan Zeng
  • Patent number: 8601403
    Abstract: In one embodiment, a spacing is determined for each edge of a number of features in a photolithographic design. The edges have at least a partially predictable layout. Based on the spacing and the predictable layout, a bridge structure is generated. Each bridge of the bridge structure connects one of the edges to an edge of a neighboring feature. Then, the features and the bridge structure are provided for a phase assignment. The phase assignment assigns features at opposite ends of each bridge in the bridge structure to opposite phases. In another embodiment, a sub-resolution assist feature (SRAF) is introduced for an edge of a feature and a bridge is generated from the feature to the SRAF. Then, the feature and the SRAF are assigned to opposite phases based on the relationship defined by the bridge.
    Type: Grant
    Filed: February 1, 2010
    Date of Patent: December 3, 2013
    Assignee: Mentor Graphics Corporation
    Inventor: Chih-Hsien Nail Tang
  • Patent number: 8601408
    Abstract: A received layout identifies a plurality of circuit components to be included in an integrated circuit (IC) layer for double patterning the layer using two photomasks, the layout including a plurality of first patterns to be included in the first photomask and at least one second pattern to be included in the second photomask. A selected one of the first patterns has first and second endpoints, to be replaced by a replacement pattern connecting the first endpoint to a third endpoint. At least one respective keep-out region is provided adjacent to each respective remaining first pattern except for the selected first pattern. Data are generated representing the replacement pattern, such that no part of the replacement pattern is formed in any of the keep-out regions. Data representing the remaining first patterns and the replacement pattern are output.
    Type: Grant
    Filed: October 10, 2011
    Date of Patent: December 3, 2013
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Huang-Yu Chen, Yuan-Te Hou, Chung-Min Fu, Chung-Hsing Wang, Wen-Hao Chen, Yi-Kan Cheng
  • Patent number: 8601404
    Abstract: Systems and techniques for modeling the EUV lithography shadowing effect are described. Some embodiments described herein provide a process model that includes an EUV lithography shadowing effect component. Polygon edges in a layout can be dissected into a set of segments. Next, the EUV lithography shadowing effect component can be used to bias each segment. The modified layout having the biased segments can then be used as input for other components in the process model.
    Type: Grant
    Filed: January 31, 2012
    Date of Patent: December 3, 2013
    Assignee: Synopsys, Inc.
    Inventors: Hua Song, James P. Shiely, Lena Zavyalova
  • Patent number: 8601407
    Abstract: Provided is a method of performing a maskless lithography process. The method includes providing a proximity correction pattern. The method includes generating a deformed pattern based on the proximity correction pattern. The method includes performing a first convolution process to the proximity correction pattern to generate a first proximity correction pattern contour. The method includes processing the first proximity correction pattern contour to generate a second proximity correction pattern contour. The method includes performing a second convolution process to the deformed pattern to generate a first deformed pattern contour. The method includes processing the first deformed pattern contour to generate a second deformed pattern contour. The method includes identifying mismatches between the second proximity correction pattern contour and the second deformed pattern contour. The method includes determining whether the deformed pattern is lithography-ready in response to the identifying.
    Type: Grant
    Filed: August 25, 2011
    Date of Patent: December 3, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hung-Chun Wang, Pei-Shiang Chen, Tzu-Chin Lin, Cheng-Hung Chen, Shih-Chi Wang, Nian-Fuh Cheng, Jeng-Horng Chen, Wen-Chun Huang, Ru-Gun Liu
  • Patent number: 8592102
    Abstract: The present disclosure provides for many different embodiments. An exemplary method can include providing a blank mask and a design layout to be patterned on the blank mask, the design layout including a critical area; inspecting the blank mask for defects and generating a defect distribution map associated with the blank mask; mapping the defect distribution map to the design layout; performing a mask making process; and performing a mask defect repair process based on the mapping.
    Type: Grant
    Filed: December 31, 2009
    Date of Patent: November 26, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chin-Hsiang Lin, Heng-Jen Lee, I-Hsiung Huang, Chih-Chiang Tu, Chun-Jen Chen, Rick Lai
  • Patent number: 8595655
    Abstract: Methods and systems for lithographic simulation and verification comprising a process in the frequency domain or in the spatial domain of calculating intensity at a location (x, y) for a number of defocus values. In addition, evaluating the intensity calculation result to determine if the intensity level will result in the mask pattern being written onto a wafer. The verification process may be calculated in the spatial domain or in the frequency domain. The calculations may be done such that full focus window calculations may be obtained by isolating the defocus parameter “z” in the calculations.
    Type: Grant
    Filed: September 9, 2010
    Date of Patent: November 26, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Fei Wang, William A. Stanton
  • Patent number: 8594963
    Abstract: A method of predicting product yield may include determining defect characteristics for a product based at least in part on inspection data associated with critical layers of the product, determining yield loss for each of the critical layers, and estimating product yield based on the determined yield loss of the critical layers. A corresponding apparatus is also provided.
    Type: Grant
    Filed: September 1, 2010
    Date of Patent: November 26, 2013
    Assignee: Macronix International Co., Ltd.
    Inventors: Hsiang-Chou Liao, Che-Lun Hung, Tuung Luoh, Ling-Wuu Yang, Ta-Hone Yang, Kuang-Chao Chen
  • Patent number: 8595657
    Abstract: Methods of fabricating a photo mask are provided. The method includes collecting sample data, setting a preliminary mask layout, performing an optical proximity correction using the sample data and a preliminary mask layout to obtain an optimized preliminary mask layout, verifying the optimized preliminary mask layout to obtain a final mask layout, and fabricating the photo mask using the final mask layout. Verification of the optimized preliminary mask layout includes operating a verification simulator using the sample data and the optimized preliminary mask layout as input data to obtain verification image data. The verification image data includes a plurality of contours of a pattern at different vertical positions.
    Type: Grant
    Filed: February 6, 2012
    Date of Patent: November 26, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hosun Cha, Eunmi Lee, Sungwoo Lee
  • Patent number: 8589840
    Abstract: A disclosed device includes a verification unit which performs a data verification of chip design data, an obtaining unit which obtains encryption IP and a verification result output unit which outputs a result of the data verification. The chip design data is designed by using the box IP, the box IP being data which can be disclosed to a chip designer in hardware IP. The encryption IP is the IP including part or all of data of the hardware IP being encrypted. The verification unit decrypts the encryption IP to the hardware IP and replaces the box IP of the chip design data with the decrypted hardware IP so as to perform the data verification, in the storage area such as RAM where storage data is hidden from outside.
    Type: Grant
    Filed: October 28, 2010
    Date of Patent: November 19, 2013
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Ryoji Koizumi
  • Patent number: 8586950
    Abstract: A method and system for photomask pattern generation is provided, and more specifically, a method and system for feature function aware priority printing is provided. The method of printing a photolithographic mask includes fracturing mask design data into write shapes that are multiples of a spot size and passing fractured mask design data to a write tool. Additionally, the method includes writing one or more non-critical shapes according to one or more time-saving rules.
    Type: Grant
    Filed: April 20, 2012
    Date of Patent: November 19, 2013
    Assignee: International Business Machines Corporation
    Inventors: Brian N. Caldwell, Emily E. F. Gallagher, Steven C. Nash, Jed H. Rankin
  • Patent number: 8589831
    Abstract: Some aspects of the present disclosure provide for a method of accurately simulating variations in an operating parameter, due to processing variations caused by a multi-patterning exposure, by reducing the impact of layout sections having a large width and spacing. The method assigns a skew sensitive index to one or more sections of a multi-patterning layer formed with a first mask. Runlengths of the one or more sections are respectively multiplied by an assigned skew sensitive index to determine a skew variation for each of the one or more sections. The overall skew variation sum is then determined by summing the skew variation for each of the one or more sections. By separately determining the effects of processing variations (e.g., mask misalignment) for different sections of a multi-patterning layer, an accurate measurement of operating parameter variations is achieved.
    Type: Grant
    Filed: July 30, 2012
    Date of Patent: November 19, 2013
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chih-Hsien Chang, Min-Shueh Yuan, Tsung-Hsien Tsai
  • Publication number: 20130305194
    Abstract: A method and system for validating integrated circuit designs that are built with encrypted silicon IP blocks decrypts the encrypted silicon IP blocks in the integrated circuit designs with the keys from IP providers. After decryption, various validation checks on the integrated circuit designs are done, such as design rule check (DRC), layout versus schematic (LVS) check, parasitic resistor capacitor (RC) extraction, circuit simulation, signal electro migration (EM) and voltage drop check, signal integrity (SI) check and static timing check, etc. After validation, any confidential data from the checking results related to the encrypted silicon IP blocks are themselves encrypted to protect the proprietary silicon IP blocks. The method and system work with silicon IP encryption technology to establish a low cost silicon IP usage and verification platform, and to enable a more cost efficient silicon IP business model.
    Type: Application
    Filed: May 14, 2012
    Publication date: November 14, 2013
    Inventors: Tongsheng Wang, Weidong Zhang
  • Publication number: 20130305195
    Abstract: A method of preparing a set of target layout data for the application of a photolithographic friendly design (LFD) analysis or other photolithographic analysis. The target layout data is revised to remove areas or features prior to performing the LFD analysis. The features removed include features that have been determined to print correctly, duplicate features and features that are not sensitive to variations in process conditions. The revised target layout is analyzed to determine if the features that remain will print correctly on a wafer.
    Type: Application
    Filed: July 22, 2013
    Publication date: November 14, 2013
    Applicant: Mentor Graphics Corporation
    Inventors: Juan Andres Torres Robles, William S. Graupp, Mark C. Simmons
  • Patent number: 8584052
    Abstract: A system and method for providing a cell layout for multiple patterning technology is provided. An area to be patterned is divided into alternating sites corresponding to the various masks. During a layout process, sites located along a boundary of a cell are limited to having patterns in the mask associated with the boundary site. When placed, the individual cells are arranged such that the adjoining cells alternate the sites allocated to the various masks. In this manner, the designer knows when designing each individual cell that the mask pattern for one cell will be too close to the mask pattern for an adjoining cell.
    Type: Grant
    Filed: April 11, 2011
    Date of Patent: November 12, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Huang-Yu Chen, Yuan-Te Hou, Ken-Hsien Hsieh, Ru-Gun Liu, Lee-Chung Lu
  • Patent number: 8584060
    Abstract: A method for decomposing design shapes in a design level into a plurality of target design levels is provided. Design shapes including first-type edges and second-type edges having different directions is provided for a design level. Inner vertices are identified and paired up. Vertices are classified into first-type vertices and second-type vertices. First mask level shapes are generated so as to touch the first-type vertices, and second mask level shapes are generated so as to tough the second-type vertices. Cut mask level shapes are generated to touch each first-type edges that are not over a second-type edge and to touch each second-type edges that are not over a first-type edge. Suitable edges are sized outward to ensure overlap among the various shapes. The design shapes are thus decomposed into first mask level shapes, the second mask level shapes, and the cut mask level shapes.
    Type: Grant
    Filed: November 16, 2012
    Date of Patent: November 12, 2013
    Assignee: International Business Machines Corporation
    Inventors: William Brearley, Geng Han, Lars W. Liebmann
  • Publication number: 20130298089
    Abstract: A method for increasing the robustness of a double patterning router used in the manufacture of integrated circuit devices that includes providing a set of original color rules defining an original color rule space, providing a set of integrated circuit designs defining a design space, providing a router processing engine, perturbing the original color rules to define a perturbed color rule space, applying the perturbed color rule space and the design space to the router processing engine to expose double pattern routing odd cycle decomposition errors, and feeding back the exposed decomposition errors to enhance router processing engine development by reconfiguring the router processing engine in accordance with the exposed decomposition errors.
    Type: Application
    Filed: May 7, 2012
    Publication date: November 7, 2013
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Lei Yuan, Hidekazu Yoshida, Youngtag Woo, Jongwook Kye
  • Publication number: 20130298088
    Abstract: A method and system for measuring layer overlay and for inspecting a mask for defects unrelated to overlay utilizing a singe comprehensive tool is disclosed. An exemplary method includes receiving a mask design database that corresponds to a mask and has a die area with a mask database feature. A mask image of the mask is received, and a comprehensive inspection system compares the mask image to the mask design database in order to detect mask defects that are not related to layer alignment. The system produces mask defect information corresponding to the mask defects. The comprehensive inspection system also compares the mask image to the mask design database to determine a database-to-mask offset. From the database-to-mask offset, a mask overlay characteristic is determined.
    Type: Application
    Filed: May 4, 2012
    Publication date: November 7, 2013
    Applicant: Taiwan Semiconductor Manufacturing Company Ltd.
    Inventors: Hsin-Chang Lee, Chia-Jen Chen, Yeh Lee-Chih, Sheng-Chi Chin, Ting-Hao Hsu, Anthony Yen
  • Patent number: 8578303
    Abstract: A method for compensating an effect of a patterning process is illustrated. The main concept of the method for compensating the effect of the patterning process is to add or subtract the correction amounts for all segments according to the set of the comparison values at the set of the evaluation points. Compared with the delta-chrome optical proximity correction method, the run time of the method for compensating the effect of the patterning process is reduced, the memory usage of the method for compensating the effect of the patterning process not increased, and the correction accuracy of the method for compensating the effect of the patterning process is not reduced.
    Type: Grant
    Filed: July 6, 2012
    Date of Patent: November 5, 2013
    Assignee: National Taiwan University
    Inventors: Kuen-Yu Tsai, Chooi-Wan Ng, Yi-Sheng Su
  • Patent number: 8577717
    Abstract: A method and a system for predicting shrinkable yield for business assessment of integrated circuit design shrink are provided. An assessment system is provided to determine cost benefits of a design shrink of an integrated circuit chip. A cost benefit analysis across different design shrink technologies is provided early in the process, so that business decisions regarding employment of design shrinks can be made as early as possible.
    Type: Grant
    Filed: July 13, 2006
    Date of Patent: November 5, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chung-Min Fu, Yu-Chyi Harn
  • Publication number: 20130290912
    Abstract: The present disclosure is directed generally to a method and apparatus for monitoring mask process impact on lithography performance. A method including receiving a physical wafer pattern according to a mask, extracting a mask contour from the mask, and extracting a deconvolution pattern based on the mask contour. A lithography process is simulated to create a virtual wafer pattern based on the deconvolution pattern. The virtual wafer pattern is then compared to the physical wafer pattern.
    Type: Application
    Filed: June 28, 2013
    Publication date: October 31, 2013
    Inventors: Ping-Chieh Wu, Chien-Hsun Chen, Ru-Gun Liu, Wien-Chun Huang, Chih-Ming Lai, Boren Luo
  • Patent number: 8572520
    Abstract: Integrated circuit (IC) methods for optical proximity correction (OPC) modeling and mask repair are described. The methods include use of an optical model that generates a simulated aerial image from an actual aerial image obtained in an optical microscope system. In the OPC modeling methods, OPC according to stage modeling is simulated, and OPC features may be added to a design layout according to the simulating OPC. In the mask repair methods, inverse image rendering is performed on the actual aerial image and diffraction image by applying an optical model that divides an incoherent exposure source into a plurality of coherent sources.
    Type: Grant
    Filed: March 1, 2012
    Date of Patent: October 29, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Shiang Chou, Ya-Ting Chang, Fu-Sheng Chu, Yu-Po Tang
  • Patent number: 8572521
    Abstract: A method for decomposing a target pattern containing features to be printed on a wafer into multiple patterns. The method includes the steps of segmenting the target pattern into a plurality of patches; identifying critical features within each patch which violate minimum spacing requirements; generating a critical group graph for each of the plurality of patches having critical features, where the critical group graph of a given patch defines a coloring scheme of the critical features within the given patch, and the critical group graph identifies critical features extending into adjacent patches to the given patch; generating a global critical group graph for the target pattern, where the global critical group graph includes the critical group graphs of each of the plurality of patches, and an identification of the features extending into adjacent patches; and coloring the target pattern based on the coloring scheme defined by the global critical group graph.
    Type: Grant
    Filed: November 13, 2008
    Date of Patent: October 29, 2013
    Assignee: ASML Netherlands B.V.
    Inventors: Luoqui Chen, Hong Chen, Jiangwei Li, Robert John Socha
  • Patent number: 8572523
    Abstract: A method for performing leakage analysis includes receiving information specifying an integrated circuit. A neighborhood of shapes associated with the integrated circuit is then determined. Leakage information associated with the integrated circuit is generated based on the neighborhood of shapes. The neighborhood of shapes may be determined by determining a first set of spacings to a boundary of a first cell from an internal shape. A second set of spacings may be determined from the boundary of the first cell to a shape of a second cell. A lithography process may be characterized using the first and second set of spacings.
    Type: Grant
    Filed: July 20, 2007
    Date of Patent: October 29, 2013
    Assignee: Synopsys, Inc.
    Inventors: Emre Tuncer, Hui Zheng, Vivek Raghavan, Anirudh Devgan, Amir Ajami, Alessandra Nardi, Tao Lin, Pramod Thazhathethil, Alfred Wong
  • Patent number: 8572518
    Abstract: A method for predicting pattern critical dimensions in a lithographic exposure process includes defining relationships between critical dimension, defocus, and dose. The method also includes performing at least one exposure run in creating a pattern on a wafer. The method also includes creating a dose map. The method also includes creating a defocus map. The method also includes predicting pattern critical dimensions based on the relationships, the dose map, and the defocus map.
    Type: Grant
    Filed: December 7, 2011
    Date of Patent: October 29, 2013
    Assignee: Nikon Precision Inc.
    Inventors: Jacek K. Tyminski, Raluca Popescu
  • Publication number: 20130283216
    Abstract: A method for mask data preparation or mask process correction is disclosed in which a set of charged particle beam shots is determined which is capable of forming a pattern on a surface, wherein critical dimension uniformity (CDU) of the pattern is optimized. In some embodiments the CDU is optimized by varying at least two factors. In other embodiments, model-based techniques are used. In yet other embodiments, the surface is a reticle to be used in an optical lithographic process to form a pattern on a wafer, and CDU on the wafer is optimized.
    Type: Application
    Filed: April 15, 2013
    Publication date: October 24, 2013
    Applicant: D2S, Inc.
    Inventors: Ryan Pearman, Robert C. Pack, Akira Fujimura
  • Patent number: 8563197
    Abstract: Design rules for circuit patterns of a semiconductor device are identified, and schematic layouts of the circuit patterns are generated according to the design rules. Lithography friendly layout (LFL) circuit patterns are generated from the schematic layouts. Target layout circuit patterns are generated from the LFL circuit patterns. Optical proximity effect correction (OPC) is performed on the target layout circuit patterns to generate OPC circuit patterns. A mask is fabricated from the OPC circuit patterns, and may be used fabricate a semiconductor device.
    Type: Grant
    Filed: September 26, 2008
    Date of Patent: October 22, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-soo Suh, Suk-joo Lee, Yong-hee Park, Mi-kyeong Lee
  • Patent number: 8566753
    Abstract: A method of performing a resolution enhancement technique such as OPC on an initial layout description involves fragmenting a polygon that represents a feature to be created into a number of edge fragments. One or more of the edge fragments is assigned an initial simulation site at which the image intensity is calculated. Upon calculation of the image intensity, the position and/or number of initial simulation sites is varied. New calculations are made of the image intensity with the revised placement or number of simulation sites in order to calculate an OPC correction for the edge fragment. In other embodiments, fragmentation of a polygon is adjusted based on the image intensities calculated at the simulation sites. In one embodiment, the image intensity gradient vector calculated at the initial simulation sites is used to adjust the simulation sites and/or fragmentation of the polygon.
    Type: Grant
    Filed: December 17, 2010
    Date of Patent: October 22, 2013
    Assignee: Mentor Graphics Corporation
    Inventors: James Word, Nicolas B. Cobb, Patrick J. LaCour
  • Patent number: 8566756
    Abstract: In a first process, a process A, an actually measured transfer position measured by a measurement/inspection instrument is indicated by a black circle. A targeted transfer position indicated by x in a process B is located at the same position as the black circle. Assuming that the weights in the subsequent processes are the same, a targeted transfer position Xtarget indicated by x in processes C, D and E is located at a moderate position with which the total deviation from an actual transfer position (black circle) measured by the measurement/inspection instrument in a process preceding the current process is minimized, that is, at a proper position with respect to a plurality of other processes. Accordingly, the productivity of devices can be improved.
    Type: Grant
    Filed: July 29, 2008
    Date of Patent: October 22, 2013
    Assignee: Nikon Corporation
    Inventor: Shinichi Okita
  • Patent number: 8566754
    Abstract: One embodiment of the present invention provides a system that automatically processes manufacturing hotspot information. During operation, the system receives a pattern clip associated with a manufacturing hotspot in a layout, wherein the pattern clip comprises a set of polygons in proximity to the manufacturing hotspot's location. Next, the system determines if the pattern clip matches a known manufacturing hotspot configuration. If the pattern clip does not match a known manufacturing hotspot configuration, the system then performs a perturbation process on the pattern clip to determine a set of correction recommendations to eliminate the manufacturing hotspot. By performing the perturbation process, the system additionally determines ranges of perturbation to the set of polygons wherein the perturbed pattern clip does not eliminate the manufacturing hotspot. Subsequently, the system stores the set of correction recommendations and the ranges of perturbation into a manufacturing hotspot database.
    Type: Grant
    Filed: November 21, 2008
    Date of Patent: October 22, 2013
    Assignee: Synopsys, Inc.
    Inventors: Kent Y. Kwang, Daniel Zhang, Zongwu Tang, Subarnarekha Sinha
  • Patent number: 8566757
    Abstract: A method for defining a full phase layout for defining a layer of material in an integrated circuit is described. The method can be used to define, arrange, and refine phase shifters to substantially define the layer using phase shifting. Through the process, computer readable definitions of an alternating aperture, dark field phase shift mask and of a complimentary mask are generated. Masks can be made from the definitions and then used to fabricate a layer of material in an integrated circuit. The separations between phase shifters, or cuts, are designed for easy mask manufacturability while also maximizing the amount of each feature defined by the phase shifting mask. Cost functions are used to describe the relative quality of phase assignments and to select higher quality phase assignments and reduce phase conflicts.
    Type: Grant
    Filed: October 30, 2009
    Date of Patent: October 22, 2013
    Assignee: Synopsys, Inc.
    Inventors: Michel L. Cote, Christophe Pierrat