Layout Generation (polygon, Pattern Feature) Patents (Class 716/55)
  • Publication number: 20150040082
    Abstract: A method of assigning layout patterns includes identifying a first set of layout patterns of a current layout design that is new or has been modified in comparison with a reference layout design. A second set of layout patterns of the current layout design is identified. A member of the second set of layout patterns that is not a member of the first set of layout patterns has a distance, less than a predetermined threshold distance, to at least another member of the second set of layout patterns. A third set of layout patterns is not modified in comparison with the reference layout design. The third set of layout patterns is assigned to a plurality of masks according to the reference pattern-assigning result.
    Type: Application
    Filed: July 31, 2013
    Publication date: February 5, 2015
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMAPNY, LTD.
    Inventors: Wen-Chun HUANG, Ming-Hui CHIH, Chia-Ping CHIANG, Ru-Gun LIU, Tsai-Sheng GAU, Jia-Guei JOU, Chih-Chung HUANG, Dong-Hsu CHENG, Yung-Pei CHIN
  • Publication number: 20150040084
    Abstract: A structure, method and system for complementary strain fill for integrated circuit chips. The structure includes a first region of an integrated circuit having multiplicity of n-channel and p-channel field effect transistors (FETs); a first stressed layer over n-channel field effect transistors (NFETs) of the first region, the first stressed layer of a first stress type; a second stressed layer over p-channel field effect transistors (PFETs) of the first region, the second stressed layer of a second stress type, the second stress type opposite from the first stress type; and a second region of the integrated circuit, the second region not containing FETs, the second region containing first sub-regions of the first stressed layer and second sub-regions of the second stressed layer.
    Type: Application
    Filed: October 17, 2014
    Publication date: February 5, 2015
    Inventors: Brent A. Anderson, Edward J. Nowak, Jed H. Rankin
  • Publication number: 20150040083
    Abstract: A system and method of decomposing a single photoresist mask pattern to three photoresist mask patterns. The system and method assign nodes to polygon features on the single photoresist mask pattern, designate nodes as being adjacent nodes for those nodes that are less than a predetermined distance apart, iteratively remove nodes having 2 or less adjacent nodes until no nodes having 2 or less adjacent nodes remain, identify one or more internal nodes, map photoresist mask pattern designations (colors) to the internal nodes, and replace and map a color to each of the nodes removed by the temporarily removing nodes, such that each node does not have an adjacent node of the same color.
    Type: Application
    Filed: July 31, 2013
    Publication date: February 5, 2015
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Wen-Li CHENG, Ming-Hui CHIH, Chia-Ping CHIANG, Ken-Hsien HSIEH, Tsong-Hua OU, Wen-Chun HUANG, Ru-Gun LIU
  • Publication number: 20150040081
    Abstract: Provided is an integrated circuit (IC) design method. The method includes receiving a design layout of the IC, the design layout having a first main feature, and adding a negative assist feature to the design layout, wherein the negative assist feature has a first width, the negative assist feature divides the first main feature into a second main feature and a third main feature by the first width, and the first width is sub-resolution in a photolithography process.
    Type: Application
    Filed: August 1, 2013
    Publication date: February 5, 2015
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chin-Min Huang, Bo-Han Chen, Lun-Wen Yeh, Shun-Shing Yang, Chia-Cheng Chang, Chern-Shyan Tsay, Chien Wen Lai, Hua-Tai Lin
  • Patent number: 8949750
    Abstract: A method and system for fracturing or mask data preparation is disclosed in which the central core portion of a diagonal pattern is fractured using overlapping variable shaped beam (VSB) shots, and an outer portion of the diagonal pattern is fractured using non-overlapping VSB shots. A transition region is interposed between the central core and outer pattern portions, and transition region shots are generated so as to produce in the transferred pattern a smooth transition in pattern characteristics such as line edge roughness or period of waviness, from the central core portion of the pattern to the outer portion of the pattern. A pattern determined by the transition region shots is then compared to a reticle pattern created using conventional non-overlapping VSB shots. Methods for forming a semiconductor device layout pattern on a reticle or substrate are also disclosed.
    Type: Grant
    Filed: March 13, 2013
    Date of Patent: February 3, 2015
    Assignee: D2S, Inc.
    Inventors: Etienne Jacques, Jin Choi, Kazuyuki Hagiwara
  • Patent number: 8945801
    Abstract: Data regarding a first corrected patterns on a single cell corrected such that an evaluation value of a pattern formed on a substrate after an image of a pattern of the single cell is projected onto a resist on the substrate and the resist is developed is obtained for each of a plurality of cells, a first evaluation value obtained by evaluating a projected image of the first corrected pattern on the single cell generated by the projection system is obtained for each of the cells, a second evaluation value obtained by, when the cells are arranged adjacent to one another, evaluating the projected images of the first corrected patterns on the cells is calculated, and creating a second corrected pattern by correcting the first corrected patterns on the cells arranged adjacent to one another such that the second evaluation value becomes close to the first evaluation value.
    Type: Grant
    Filed: September 11, 2013
    Date of Patent: February 3, 2015
    Assignee: Canon Kabushiki Kaisha
    Inventors: Ryo Nakayama, Tadashi Arai
  • Patent number: 8945803
    Abstract: The present disclosure provides a method of improving a layer to layer overlay error by an electron beam lithography system. The method includes generating a smart boundary of two subfields at the first pattern layer and obeying the smart boundary at all consecutive pattern layers. The same subfield is exposed by the same electron beam writer at all pattern layers. The overlay error caused by the different electron beam at different layer is improved.
    Type: Grant
    Filed: December 16, 2013
    Date of Patent: February 3, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Pei-Shiang Chen, Hung-Chun Wang, Jeng-Horng Chen, Cheng-Hung Chen, Shih-Chi Wang, Nian-Fuh Cheng, Chia-Chi Lin
  • Patent number: 8949748
    Abstract: A mask includes a main pattern for resolving a target pattern to be formed on a substrate and an auxiliary pattern not resolving. Values of parameters of the main pattern and the auxiliary pattern are set. An image is calculated that is formed when the main pattern and the auxiliary pattern determined by the values of the parameters of the main pattern and the auxiliary pattern are projected by a projection optical system. Based on a result of the calculation that is performed by modifying the values of the parameters of the main pattern and the auxiliary pattern, the values of the parameters of the main pattern and the auxiliary pattern are determined to generate data of the mask including the main pattern and the auxiliary pattern determined.
    Type: Grant
    Filed: October 25, 2011
    Date of Patent: February 3, 2015
    Assignee: Canon Kabushiki Kaisha
    Inventors: Hiroyuki Ishii, Kouichirou Tsujita
  • Patent number: 8949749
    Abstract: The present disclosure relates to a method and apparatus to create a physical layout for electron-beam lithography, comprising defining a layout grid for a physical design, the layout grid further comprising vertical grid lines which coincide with stitching lines resulting from partitioning the physical design into a plurality of subfields. The physical design is assembled in accordance with design restrictions regarding interaction between design shapes and the layout grid. In some embodiments, the design restrictions are realized though layout restrictions. In some embodiments, the design restrictions are realized by shifting standard cells to minimize design shape interaction with the layout grid in a post-layout step. In some embodiments, the design restrictions are realized by exchanging positions between a plurality of standard cells for an exchange permutation which minimizes the number of interactions in a post-layout step.
    Type: Grant
    Filed: October 23, 2012
    Date of Patent: February 3, 2015
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Hung-Chun Wang, Shao-Yun Fang, Tzu-Chin Lin, Wen-Chun Huang, Ru-Gun Liu
  • Patent number: 8943444
    Abstract: Systems and methods for semiconductor device reliability qualification during semiconductor device design. A method is provided that includes defining performance process window bins for a performance window. The method further includes determining at least one failure mechanism for each bin assignment. The method further includes generating different reliability models when the at least one failure mechanism is a function of the process window, and generating common reliability models when the at least one failure mechanism is not the function of the process window. The method further includes identifying at least one risk factor for each bin assignment, and generating aggregate models using a manufacturing line distribution. The method further includes determining a fail rate by bin and optimizing a line center to minimize product fail rate. The method further includes determining a fail rate by bin and scrapping production as a function of a manufacturing line excursion event.
    Type: Grant
    Filed: June 20, 2013
    Date of Patent: January 27, 2015
    Assignee: International Business Machines Corporation
    Inventors: Jeanne P. Bickford, Nazmul Habib, Baozhen Li, Pascal A. Nsame
  • Patent number: 8943446
    Abstract: The present invention provides a generation method of generating data of patterns of a plurality of masks used in an exposure apparatus for exposing a substrate, including a step of specifying, from a plurality of points on a grid having pattern elements to be formed on the substrate as intersections, an allowable point that allows a pattern to be transferred other than points of target pattern elements constituting a target pattern to be formed on the substrate, and a step of, for a pattern element group including a target pattern element whose distance to an adjacent target pattern element is shorter than a resolution limit of the exposure apparatus, grouping the adjacent target pattern elements on the grid a space between which is filled with the allowable point.
    Type: Grant
    Filed: February 6, 2014
    Date of Patent: January 27, 2015
    Assignee: Canon Kabushiki Kaisha
    Inventor: Tadashi Arai
  • Patent number: 8943445
    Abstract: A method includes determining one or more potential merges corresponding to a color set Ai and a color set Aj of N color sets, represented by A1 to AN, used in coloring polygons of a layout of an integrated circuit. N is a positive integer, i and j are integers from 1 to N, and i?j. One or more potential cuts corresponding to the color set Ai and the second color set Aj are determined. An index Aij is determined according to the one or more potential merges and the one or more potential cuts. A plurality of parameters F related to the index Aij is obtained based on various values of indices fi and fj. A parameter F is selected among the plurality of parameters F based on a definition of the index Aij.
    Type: Grant
    Filed: December 12, 2013
    Date of Patent: January 27, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Pi-Tsung Chen, Ming-Hui Chih, Ken-Hsien Hsieh, Wei-Long Wang, Wen-Chun Huang, Ru-Gun Liu, Tsai-Sheng Gau, Wen-Ju Yang, Gwan Sin Chang, Yung-Sung Yen
  • Publication number: 20150021782
    Abstract: According to one embodiment, a design method of layout formed by a sidewall method is provided. The method includes: preparing a base pattern on which a plurality of first patterns extending in a first direction and arranged at a first space in a second direction intersecting the first direction and a plurality of second patterns extending in the first direction and arranged at a center between the first patterns, respectively, are provided; and drawing a connecting portion which extends in the second direction and connects two neighboring first patterns sandwiching one of the second patterns, and separating the one of the second patterns into two patterns not contacting the connecting portion.
    Type: Application
    Filed: October 3, 2014
    Publication date: January 22, 2015
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Chikaaki KODAMA, Koichi NAKAYAMA, Toshiya KOTANI, Shigeki NOJIMA, Fumiharu NAKAJIMA, Hirotaka ICHIKAWA
  • Patent number: 8938699
    Abstract: The method of the invention tracks how the collective movement of edge segments in a mask layout alters the resist image values at control points in the layout and simultaneously determines a correction amount for each edge segment in the layout. A multisolver matrix that represents the collective effect of movements of each edge segment in the mask layout is used to simultaneously determine the correction amount for each edge segment in the mask layout.
    Type: Grant
    Filed: May 17, 2013
    Date of Patent: January 20, 2015
    Assignee: ASML Netherlands B.V.
    Inventors: William S. Wong, Been-Der Chen, Yen-Wen Lu, Jiangwei Li, Tatsuo Nishibe
  • Patent number: 8935639
    Abstract: A route technique includes: receiving an input specifying a plurality of semiconductor device components and their logical connections; determining route information pertaining to a plurality of routes that connect in one or more metal layers the semiconductor device components according to their logical connections, the determination being based at least in part on a plurality of predefined tracks associated with a metal layer; and outputting at least a portion of the route information. A first portion of the plurality of predefined tracks corresponds to a first color and a second portion of the plurality of predefined tracks corresponds to a second color.
    Type: Grant
    Filed: February 27, 2013
    Date of Patent: January 13, 2015
    Assignee: Atoptech, Inc.
    Inventor: Ping-San Tzeng
  • Publication number: 20150012896
    Abstract: Methods for fabricating integrated circuits are provided. In one example, a method for fabricating an integrated circuit includes generating a photomask for forming a DSA directing pattern on a semiconductor substrate. The DSA directing pattern is configured to guide a self-assembly material deposited thereon that undergoes directed self-assembly (DSA) to form a DSA pattern. Generating the photomask includes, using a computing system, inputting a DSA target pattern. Using the computing system, a DSA model, an OPC model, and a MPC model, cooperatively running a DSA PC algorithm, an OPC algorithm, and a MPC algorithm to produce an output MPCed pattern for a mask writer to write on the photomask.
    Type: Application
    Filed: July 8, 2013
    Publication date: January 8, 2015
    Inventors: Azat Latypov, Yi Zou, Vito Dai
  • Publication number: 20150012897
    Abstract: Methods for fabricating integrated circuits are provided. In one example, a method for fabricating an integrated circuit includes generating a photomask for forming a DSA directing pattern on a semiconductor substrate. The DSA directing pattern is configured to guide a self-assembly material deposited thereon that undergoes directed self-assembly (DSA) to form a DSA pattern. Generating the photomask includes using a computing system, inputting a DSA target pattern and an initial pattern. An output mask writer pattern is produced from the initial pattern using the computing system, the DSA target pattern, a DSA model, an OPC model, and a MPC model. The output mask writer pattern is for a mask writer to write on the photomask.
    Type: Application
    Filed: July 8, 2013
    Publication date: January 8, 2015
    Inventors: Azat Latypov, Yi Zou, Vito Dai
  • Publication number: 20150011022
    Abstract: Target pattern layouts that include lower and upper target patterns are designed. Each lower target pattern is combined with a upper target pattern that at least partially overlaps a top surface thereof to form combination structures. The combination structures are divided into first and second combination structures. A first target pattern is formed from the lower target pattern in the first combination structure and a third target pattern is formed from the upper target pattern in the first combination structure. The first and third target patterns are formed in first and third lithography processes, respectively. A second target pattern is formed from the lower target pattern in the second combination structure and a fourth target pattern is formed from the upper target pattern in the second combination structure. The second and fourth target patterns are formed in second and fourth lithography processes, respectively.
    Type: Application
    Filed: April 24, 2014
    Publication date: January 8, 2015
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Jeong-Hoon Lee, Sang-Wook Seo, Hye-Soo Shin
  • Patent number: 8930859
    Abstract: Embodiments relate to a method of decomposing a layout of a semiconductor device. The method may include generating a pattern layout including first patterns and second patterns, generating an interference map for the pattern layout, the interference map including optical interference information regarding the first and second patterns, and decomposing the pattern layout into a first decomposition pattern layout including the first patterns, and a second decomposition pattern layout including the second patterns, based on the interference map. In the interference map, an influence of constructive interference on the first patterns may be greater than an influence of constructive interference on the second patterns.
    Type: Grant
    Filed: July 17, 2013
    Date of Patent: January 6, 2015
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Sung-Gon Jung
  • Patent number: 8930860
    Abstract: A layout decomposition method and a method for manufacturing a semiconductor device applying the same are provided. According to the layout decomposition method, a design layout is received by the logic processor of a computing system. A design rule for layout decomposition is then identified by the logic processor, including identifying dense areas (areas with densely distributed features) on a substrate, and identifying areas with odd-numbered features on the substrate. Next, a first mask with a first pattern and a second mask with a second pattern are generated corresponding to results of design rule identification by the computing system for fabricating patterns of features in at least two of the areas with odd-numbered features in one of the dense areas.
    Type: Grant
    Filed: April 1, 2014
    Date of Patent: January 6, 2015
    Assignee: United Microelectronics Corp.
    Inventor: Yu-Cheng Tung
  • Patent number: 8930856
    Abstract: Aspects of the invention relate to techniques for mask rule checking based on curvature information. The curvature information comprises convex curvature information and concave curvature information. The convex curvature information for a vertex of a mask feature may comprise a convex curvature value derived based on the size of a circle that passes through the vertex, is tangent to an edge and does not cross any other edges. The concave curvature information for the vertex may comprise a concave curvature value derived based on the size of a circle that is tangent to two edges that form the vertex and does not cross any other edges, and of which distance from the vertex measured from the nearest point is no more than a predetermined number. The generated curvature information is compared with threshold curvature information to determine mask rule violations.
    Type: Grant
    Filed: January 30, 2013
    Date of Patent: January 6, 2015
    Assignee: Mentor Graphics Corporation
    Inventor: Emile Y Sahouria
  • Patent number: 8930866
    Abstract: A method of designing a charge trapping memory array including designing a floating gate memory array layout. The floating gate memory layout includes a first type of transistors, electrical connections between memory cells of the floating gate memory array layout, a first input/output (I/O) interface, a first type of charge pump, and an I/O block. The method further includes modifying the floating gate memory array layout, using a processor, to replace the first type of transistors with a second type of transistors different than the first type of transistors. The method further includes determining an operating voltage difference between the I/O block and the second type of transistors. The method further includes modifying the floating gate memory array layout, using the processor, to modify the first charge pump based on the determined operating voltage difference.
    Type: Grant
    Filed: March 11, 2013
    Date of Patent: January 6, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hung-Cheng Sung, Yue-Der Chih, Chia-Hsing Chen
  • Patent number: 8924909
    Abstract: Methods for producing layout data for devices are described. One method includes using a genetic algorithm to determine a structure of a thermally-operated actuator. Another method includes receiving a three-dimensional model of a device, a design-rule set, and parameter ranges. Layout data are produced for devices having various combinations of parameter values in the parameter ranges.
    Type: Grant
    Filed: May 31, 2013
    Date of Patent: December 30, 2014
    Assignee: Purdue Research Foundation
    Inventor: Jason V. Clark
  • Publication number: 20140380256
    Abstract: A double patterning layout design method comprises defining critical paths comprising a first path and a second path on a schematic circuit, and defining a double patterning layout divided into a first mask layout having a first color and a second mask layout having a second color, the double patterning layout corresponding to the schematic circuit. The defining of the double patterning layout comprises anchoring the critical paths on the schematic circuit.
    Type: Application
    Filed: April 22, 2014
    Publication date: December 25, 2014
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: TAE-JOONG SONG, JAE-HO PARK, KWANG-OK JEONG
  • Patent number: 8918744
    Abstract: Described herein is a method for simulating an image formed within a resist layer on a substrate resulting from an incident radiation, the substrate having a first feature and a second feature underlying the resist layer, the method comprising: simulating a first partial image using interaction of the incident radiation and the first feature without using interaction of the incident radiation and the second feature; simulating a second partial image using the interaction of the incident radiation and of the second feature without using the interaction of the incident radiation and the first feature; computing the image formed within the resist layer from the first partial image, and the second partial image; wherein the interaction of the incident radiation and the first feature is different from the interaction of the incident radiation and the second feature.
    Type: Grant
    Filed: February 6, 2013
    Date of Patent: December 23, 2014
    Assignee: ASML Netherlands B.V.
    Inventor: Song Lan
  • Patent number: 8918745
    Abstract: Methodology enabling a reduction in a density difference between two complementary exposure masks and/or windows of a layout and an apparatus for performing the method are disclosed. Embodiments include: determining a layer of an IC design having features to be resolved by first and second masks; determining a difference of density by comparing a first density of a first set of the features with a second density of a second set of the features; determining a region on the layer of a first feature to be resolved by the first mask; and inserting, within the region, a polygon to be resolved by the second mask based on the difference of density.
    Type: Grant
    Filed: March 14, 2013
    Date of Patent: December 23, 2014
    Assignee: GlobalFoundries Inc.
    Inventors: Lynn Wang, Sriram Madhavan, Luigi Capodieci
  • Patent number: 8916315
    Abstract: In the field of semiconductor production using shaped charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, wherein a plurality of circular or nearly-circular shaped beam shots can form a non-circular pattern on a surface. Methods for manufacturing a reticle and for manufacturing a substrate such as a silicon wafer by forming non-circular patterns on a surface using a plurality of circular or nearly-circular shaped beam shots is also disclosed.
    Type: Grant
    Filed: August 5, 2013
    Date of Patent: December 23, 2014
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Michael Tucker
  • Patent number: 8918752
    Abstract: A semiconductor die is described. This semiconductor die includes a driver, and a spatial alignment transducer that is electrically coupled to the driver and which is proximate to a surface of the semiconductor die. The driver establishes a spatially varying electric charge distribution in at least one direction in the spatial alignment transducer, thereby facilitating determination of a spatial alignment in more than one direction between the semiconductor die and another semiconductor die. In particular, a spatial alignment sensor proximate to the surface of the other semiconductor die may detect an electrical field (or an associated electrostatic potential) associated with the spatially varying electric charge distribution. This detected electric field may allow the vertical spacing between the surfaces of the semiconductor dies and/or an angular alignment of the semiconductor dies to be determined.
    Type: Grant
    Filed: December 14, 2011
    Date of Patent: December 23, 2014
    Assignee: Oracle International Corporation
    Inventors: Alex Chow, Robert J. Drost, Ronald Ho, Robert D. Hopkins, Ivan E. Sutherland
  • Patent number: 8918746
    Abstract: Methodologies and an apparatus enabling a selection of design rules to improve a density of features of an IC design are disclosed. Embodiments include: determining a feature overlapping a grating pattern of an IC design, the grating pattern including a plurality of grating structures; determining a shape of a cut pattern overlapping the grating pattern; and selecting one of a plurality of rules for the feature based on the determined shape.
    Type: Grant
    Filed: September 4, 2013
    Date of Patent: December 23, 2014
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Lei Yuan, Jongwook Kye, Harry J. Levinson
  • Patent number: 8918742
    Abstract: The present invention relates to methods and systems for designing gauge patterns that are extremely sensitive to parameter variation, and thus robust against random and repetitive measurement errors in calibration of a lithographic process utilized to image a target design having a plurality of features. The method may include identifying most sensitive line width/pitch combination with optimal assist feature placement which leads to most sensitive CD (or other lithography response parameter) changes against lithography process parameter variations, such as wavefront aberration parameter variation. The method may also include designing gauges which have more than one test patterns, such that a combined response of the gauge can be tailored to generate a certain response to wavefront-related or other lithographic process parameters. The sensitivity against parameter variation leads to robust performance against random measurement error and/or any other measurement error.
    Type: Grant
    Filed: July 5, 2012
    Date of Patent: December 23, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Hanying Feng, Yu Cao, Jun Ye, Youping Zhang
  • Patent number: 8914766
    Abstract: According to one embodiment, generating virtual data by mirroring data based on a dimension measurement result in a measurement region on an inner side of a shot region to a non-shot region on an outer side of a shot edge, and calculating dose data of the measurement region and a non-measurement region based on data in the measurement region and the virtual data are included.
    Type: Grant
    Filed: July 1, 2013
    Date of Patent: December 16, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yosuke Okamoto, Takashi Koike
  • Patent number: 8914755
    Abstract: Among other things, one or more techniques and systems for layout re-decomposition of a new layout corresponding to a change order to an original layout associated with an integrated circuit are provided. The change order is applied to the original layout to create the new layout. The original layout comprises one or more original pattern portions assigned pattern colors that correspond to pattern masks. One or more new pattern portions within the new layout are assigned pattern colors such that the new layout has a relatively high color similarity with respect to the original layout. In this way, changes to the pattern masks are reduced, thus mitigating fabrication delay or costs that would otherwise result from significant changes to the pattern masks.
    Type: Grant
    Filed: May 28, 2013
    Date of Patent: December 16, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Chin-Hsiung Hsu, Huang-Yu Chen, Yuan-Te Hou, Yen-Pin Chen, Wen-Hao Chen, Chung-Hsing Wang
  • Patent number: 8914760
    Abstract: Aspects of the invention relate to techniques for detecting and correcting electrical hotspots in a layout design for a circuit design comprising an analog circuit. Layout parameters for device instances associated with electrical constraints are first extracted. Based on the extracted layout parameters, electrical parameter variations for the device instances may be computed to identify one or more electrical hotspots in the layout design. A sensitivity analysis of the one or more electrical hotspots is performed to generate repair hints. Based on the repair hints, the layout design is adjusted.
    Type: Grant
    Filed: September 26, 2012
    Date of Patent: December 16, 2014
    Assignee: Mentor Graphics Corporation
    Inventors: Rami Fathy Salem, Haitham Mohamed Eissa, Ahmed Arafa, Sherif Hany Mousa, Abdelrahman ElMously, Walid Farouk Mohamed, Mohamed Amin Dessouky
  • Publication number: 20140365985
    Abstract: A method for generating a pattern of a mask used for an exposure apparatus through a calculation by a processor includes applying, to a target main pattern, a reference map of a characteristic value of an image of a representative main pattern with respect to a position of a representative auxiliary pattern calculated for each of a plurality of positions while the position of the representative auxiliary pattern with respect to the representative main pattern is changed and calculating a map of the characteristic value of the image of the target main pattern with respect to a position of an auxiliary pattern, and determining the position of the auxiliary pattern by using data of the map of the characteristic value of the image of the target main pattern and generating a pattern of a mask including the target main pattern and the determined auxiliary pattern.
    Type: Application
    Filed: June 5, 2014
    Publication date: December 11, 2014
    Inventors: Hiroyuki Ishii, Kenji Yamazoe
  • Patent number: 8910098
    Abstract: Aspects of the invention relate to techniques for applying edge fragment correlation information to optical proximity correction. Neighbor-aware edge adjustment values for the edge fragments are computed based on edge placement error values and edge fragment correlation information. The computation comprises: selecting a group of edge fragments around a center edge fragment, calculating preliminary neighbor-aware edge adjustment values based on the edge placement error values and the edge fragment correlation information for the group of edge fragments, storing the preliminary neighbor-aware edge fragment adjustment value for the center edge fragment, and repeating the selecting, the calculating and the storing with each of the edge fragments being the center edge fragment. The computed neighbor-aware edge adjustment values are combined with conventional edge adjustment values and the edge fragments are adjusted accordingly. The process may be repeated for a number of times.
    Type: Grant
    Filed: February 18, 2014
    Date of Patent: December 9, 2014
    Assignee: Mentor Graphics Corporation
    Inventors: Junjiang Lei, Le Hong, Georg P. Lippincott
  • Patent number: 8910094
    Abstract: A method includes receiving a design layout file for an integrated circuit device in a computing apparatus. The design layout file specifies dimensions of a plurality of features. The design layout file is decomposed to a plurality of colored layout files, each colored layout file representing a particular reticle in a multiple patterning process. Each of the colored layout files is retargeted separately in the computing apparatus to generate a plurality of retargeted colored layout files. Retargeting each of the colored layout files includes increasing dimensions of a first plurality of features based on spacings between the first plurality of features and adjacent features. The retargeted layout files are combined to generate a combined layout file. Features in the combined layout file are retargeted in the computing apparatus to increase dimensions of a second plurality of features based on spacings between the second plurality of features and adjacent features.
    Type: Grant
    Filed: February 6, 2013
    Date of Patent: December 9, 2014
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Yuyang Sun, Chidam Kallingal, Norman Chen
  • Patent number: 8910093
    Abstract: A method of modeling an image intended to reside in a photoresist film on a substrate is provided. A simulated latent acid image of the image is produced, the simulated latent acid image is compressed in a predetermined direction, and developed to a pattern that enables (a) transfer of the pattern to the substrate or (b) further modeling of the pattern for transfer to the substrate.
    Type: Grant
    Filed: September 28, 2011
    Date of Patent: December 9, 2014
    Assignee: Nikon Corporation
    Inventor: Donis G. Flagello
  • Patent number: 8910096
    Abstract: According to one embodiment, a step difference estimation unit, an assist pattern generation unit, and a spherical aberration conversion unit are installed. The step difference estimation unit estimates step difference of a processing layer. The assist pattern generation unit adds an assist pattern having different sensitivity to spherical aberration in an exposure process to a mask pattern based on the step difference of the processing layer. The spherical aberration conversion unit converts the step difference of the processing layer into the spherical aberration.
    Type: Grant
    Filed: July 24, 2013
    Date of Patent: December 9, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Yuji Setta
  • Patent number: 8910089
    Abstract: Various embodiments include approaches for calibrating a model for a lithographic printing process. Some embodiments include a computer-implemented method for calibrating a model for a lithographic printing process. Some approaches include: identifying parameters for a model of the lithographic printing process; assembling a population of design content including potentially printable features that can be printed by the lithographic printing process; preparing at least one matrix expressing a similarity between the potentially printable features in terms of the parameters for the model; determining a manifold of smaller dimensionality than the parameters for the model which exhibit maximum variation in similarity within the at least one matrix; and selecting a sample dataset of the potentially printable features from the manifold.
    Type: Grant
    Filed: June 19, 2013
    Date of Patent: December 9, 2014
    Assignee: International Business Machines Corporation
    Inventors: Samit Barai, Alan E. Rosenbluth
  • Patent number: 8910095
    Abstract: Aspects of the invention relate to techniques of layout decomposition for triple patterning lithography. Data of a coloring graph are derived from layout data for a layout design. The coloring graph is simplified through graph reduction and graph partitioning processes. The graph partitioning process comprises separating biconnected components. The graph partitioning process may further comprise separating subgraphs connected by one or two edges. Based on the simplified coloring graph, the layout design is decomposed to generate decomposition information. The decomposition process may comprise applying a heuristic method for coloring if needed. The decomposition information may comprise information of one or more layout regions that cannot be decomposed.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: December 9, 2014
    Assignee: Mentor Graphics Corporation
    Inventors: Qiao Li, Pradiptya Ghosh
  • Patent number: 8910091
    Abstract: A method of generating complementary masks based on a target pattern having features to be imaged on a substrate for use in a multiple-exposure lithographic imaging process is disclosed. The method includes defining an initial H-mask and an initial V-mask corresponding to the target pattern; identifying horizontal critical features in the H-mask and vertical critical features in the V-mask; assigning a first phase shift and a first percentage transmission to the horizontal critical features, which are to be formed in the H-mask; and assigning a second phase shift and a second percentage transmission to the vertical critical features, which are to be formed in the V-mask. The method further includes the step of assigning chrome to all non-critical features in the H-mask and the V-mask.
    Type: Grant
    Filed: February 21, 2012
    Date of Patent: December 9, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Jang Fung Chen, Duan-Fu Stephen Hsu, Douglas Van Den Broeke
  • Publication number: 20140359544
    Abstract: Among other things, one or more techniques and systems for layout re-decomposition of a new layout corresponding to a change order to an original layout associated with an integrated circuit are provided. The change order is applied to the original layout to create the new layout. The original layout comprises one or more original pattern portions assigned pattern colors that correspond to pattern masks. One or more new pattern portions within the new layout are assigned pattern colors such that the new layout has a relatively high color similarity with respect to the original layout. In this way, changes to the pattern masks are reduced, thus mitigating fabrication delay or costs that would otherwise result from significant changes to the pattern masks.
    Type: Application
    Filed: May 28, 2013
    Publication date: December 4, 2014
    Applicant: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Chin-Hsiung Hsu, Huang-Yu Chen, Yuan-Te Hou, Yen-Pin Chen, Wen-Hao Chen, Chung-Hsing Wang
  • Publication number: 20140353757
    Abstract: Integrated circuits and methods of manufacture and design thereof are disclosed. For example, a method of manufacturing includes using a first mask to pattern a gate material forming a plurality of first and second features. The first features form gate electrodes of the semiconductor devices, whereas the second features are dummy electrodes. Based on the location of these dummy electrodes, selected dummy electrodes are removed using a second mask. The use of the method provides greater flexibility in tailoring individual devices for different objectives.
    Type: Application
    Filed: July 3, 2014
    Publication date: December 4, 2014
    Inventors: Henning Haffner, Manfred Eller, Richard Lindsay
  • Patent number: 8904315
    Abstract: There is provided a method comprising: examining the location of one or more feature(s) of the one or more component(s) of a circuit arrangement to determine the displacement of the location of said one or more associated communication contact(s) with respect to a designed location for the communication contact(s), and providing corrective communication path layout data of said circuit arrangement based upon the said displacement(s).
    Type: Grant
    Filed: December 17, 2007
    Date of Patent: December 2, 2014
    Assignee: Nokia Corporation
    Inventors: Risto Rönkkä, Tapio Manninen, Kalle Rutanen, Pekka Ruusuvuori, Heikki Huttunen
  • Patent number: 8904316
    Abstract: A method for printing a periodic pattern having a first symmetry and a first period into a photosensitive layer. The method includes providing a mask bearing a pattern of at least two overlapping sub-patterns which have a second symmetry and a second period, the features of each sub-pattern being formed in a transmissive material, providing a substrate bearing the layer, arranging the mask with a separation from the substrate, providing light having a central wavelength for illuminating the mask to generate a light-field in which light of the central wavelength forms a range of intensity distributions between Talbot planes, illuminating said mask pattern with said light while maintaining the separation or changing it by a distance whereby the photosensitive layer is exposed to an average of the range of intensity distributions, wherein the light transmitted by each sub-pattern is shifted in phase relative to that transmitted by another sub-pattern.
    Type: Grant
    Filed: November 16, 2011
    Date of Patent: December 2, 2014
    Assignee: Eulitha A.G.
    Inventors: Harun H. Solak, Francis Clube
  • Patent number: 8898598
    Abstract: A method of layout pattern modification includes the following steps: step 1: performing an OPC process on a layout containing a plurality of square patterns to obtain a plurality of post-OPC patterns in correspondence with the plurality of square patterns; step 2: performing a manufacturing rule check on each of the plurality of post-OPC patterns to identify, from the plurality of post-OPC patterns, one or more post-OPC patterns violating the manufacturing rule; and step 3: rotating at least one of the one or more post-OPC patterns violating the manufacturing rule; and step 4: performing a manufacturing rule check on each of the rotated and non-rotated post-OPC patterns, if no post-OPC pattern violating the manufacturing rule is identified, finishing the process; otherwise, if one or more post-OPC patterns violating the manufacturing rule are identified, continuing to perform step 3 and step 4.
    Type: Grant
    Filed: December 13, 2013
    Date of Patent: November 25, 2014
    Assignee: Shanghai Huali Microelectronics Corporation
    Inventors: Chenming Zhang, HsuSheng Chang, Fang Wei
  • Patent number: 8898600
    Abstract: A method for laying out a target pattern includes assigning a keep-out zone to an end of a first feature within a target pattern, and positioning other features such that ends of the other features of the target pattern do not have an end within the keep-out zone. The target pattern is to be formed with a corresponding main feature and cut pattern.
    Type: Grant
    Filed: July 15, 2013
    Date of Patent: November 25, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Huang-Yu Chen, Yuan-Te Hou, Yu-Hsiang Kao, Ken-Hsien Hsieh, Ru-Gun Liu, Lee-Chung Lu
  • Patent number: 8893061
    Abstract: Some embodiments of the present invention overcome I/O bottlenecks of an EDA work flow by keeping layout data distributed during handoffs among different processing stages. Specifically, some embodiments leverage a concurrent computation paradigm where data is propagated incrementally between stages, and where data processing among consecutive stages and the I/O between stages are executed concurrently. Specifically, different data processing stages can partition the layout data differently, and portions of the layout data that are not required by a data processing stage can be either passed-through or passed-around the data processing stage.
    Type: Grant
    Filed: March 3, 2014
    Date of Patent: November 18, 2014
    Assignee: Synopsys, Inc.
    Inventors: Michael L. Rieger, Mathias Boman, Naji V. Bekhazi, Daniel D. Hung, Michael G. Brashler, Thomas Brett Hall
  • Patent number: 8893059
    Abstract: One embodiment relates to a pattern data system for maskless electron beam lithography. The system includes a renderer that receives pre-exposure die image data, performs rendering of the pre-exposure die image data to generate raster data. The system further includes a plurality of data distributors communicatively coupled to the renderer. Each data distributor adapts the raster data to characteristics of an associated pattern writer. Other embodiments, aspects and feature are also disclosed.
    Type: Grant
    Filed: January 30, 2013
    Date of Patent: November 18, 2014
    Assignee: KLA-Tencor Corporation
    Inventor: Allen Carroll
  • Patent number: 8893058
    Abstract: The present invention relates to a method for tuning lithography systems so as to allow different lithography systems to image different patterns utilizing a known process that does not require a trial and error process to be performed to optimize the process and lithography system settings for each individual lithography system. According to some aspects, the present invention relates to a method for a generic model-based matching and tuning which works for any pattern. Thus it eliminates the requirements for CD measurements or gauge selection. According to further aspects, the invention is also versatile in that it can be combined with certain conventional techniques to deliver excellent performance for certain important patterns while achieving universal pattern coverage at the same time.
    Type: Grant
    Filed: May 14, 2013
    Date of Patent: November 18, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Yu Cao, Hanying Feng, Jun Ye