Including Heating Patents (Class 430/330)
  • Patent number: 8574816
    Abstract: The invention provides a positive resist composition comprising, as base resins contained therein, (A) a polymer having a weight-average molecular weight of 1000 to 500000 and containing a repeating unit which contains a structure having a hydrogen atom of a carboxyl group thereof substituted with an acid-labile group having a cyclic structure and (B) a novolak resin of a substituted or an unsubstituted naphtholphthalein, and in addition, a photo acid generator. There can be provided a positive resist composition having an appropriate absorption to form a pattern on a highly reflective substrate, excellent characteristics in adhesion and implantation onto a non-planar substrate, a good pattern profile after light exposure, and an ion implantation resistance at the time of ion implantation; and a patterning process.
    Type: Grant
    Filed: August 13, 2012
    Date of Patent: November 5, 2013
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Daisuke Kori
  • Patent number: 8574817
    Abstract: A positive resist composition comprising a polymer having carboxyl groups substituted with an acid labile group having formula (1), (2) or (3) exhibits a high contrast of alkaline dissolution rate before and after exposure, a high resolution, a reduced acid diffusion rate, and forms a pattern with good profile, minimal edge roughness, and etch resistance. In formula (1), R1, R2, R5, R6, R8, and R9 are alkyl, aryl, or alkenyl, R3, R4, R7, R10, and R11 are hydrogen, alkyl, alkoxy, acyloxy, halogen, cyano, nitro, hydroxyl or trifluoromethyl, M is methylene or ethylene, R is a single bond or linking group.
    Type: Grant
    Filed: September 13, 2012
    Date of Patent: November 5, 2013
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Koji Hasegawa
  • Patent number: 8574818
    Abstract: The invention relates to a photo-crosslinkable composition obtainable by a method comprising: (a) the hydrolysis and condensation reaction of a [(epoxycycloalkyl)alkyl]trialkoxysilane in solution in an organo-aqueous medium as described in specification to obtain a solution of an organo-mineral hybrid prepolymer, in which the totality or quasi-totality of the alkoxysilane groups has been hydrolysed, and which comprises in average at least 4 (epoxycycloalkyl)alkyl groups; (b) cooling the obtained polyepoxide prepolymer composition as described in specification: (c) adding to said composition at least one cationic-polymerisation photo-photoinitiator and at least one specific photosensitiser as describes in specification and adding a surfactant; (d) agitating the obtained composition as described in specification thus obtained; (e) filtering the obtained composition as described in specification thus obtained; and (f) storing the obtained liquid filtrate as described in specification thus obtained.
    Type: Grant
    Filed: April 9, 2009
    Date of Patent: November 5, 2013
    Assignees: Essilor International (Compagnie Generale d'optique), Centre National de la Recherche Scientifique-CNRS
    Inventors: Jerome Ballet, Claudine Biver, Jean-Paul Cano, Florent Deliane, Pascal Etienne, Marjorie Llosa
  • Patent number: 8568958
    Abstract: The present invention relates to an underlayer composition comprising a polymer, an organic titanate compound and optionally a thermal acid generator, where the polymer comprises at least one fluoroalcohol group and at least one epoxy group. The invention also relates to a process for using this underlayer material as an antireflective coating composition and/or a hard mask for pattern transfer.
    Type: Grant
    Filed: June 21, 2011
    Date of Patent: October 29, 2013
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: Huirong Yao, Guanyang Lin, Zachary Bogusz, PingHung Lu, WooKyu Kim, Mark Neisser
  • Patent number: 8568960
    Abstract: A method that forms a film of photoresist composition on a substrate and exposes a first and second region of the film to radiation through a first and second mask having a first and second image pattern, respectively. The photoresist composition includes a polymer including labile group(s), base soluble group(s), a photosensitive acid generator, and a photosensitive base generator. The photosensitive acid generator generates first and second amounts of acid upon exposure to first and second doses of radiation, respectively. The second amount of acid exceeds the first amount of acid. The second dose of radiation exceeds the first dose of radiation. The photosensitive base generator generates a first and second amount of base upon exposure to the first and second dose of radiation, respectively. The first amount of base exceeds the first amount of acid. The second amount of acid exceeds the second amount of base.
    Type: Grant
    Filed: March 13, 2012
    Date of Patent: October 29, 2013
    Assignee: International Business Machines Corporation
    Inventors: Kuang-Jung Chen, Wu-Song Huang, Ranee Wai-Ling Kwong, Sen Liu, Pushkara R. Varanasi
  • Patent number: 8568956
    Abstract: A resist composition contains (A1) a resin having a structural unit represented by the formula (I), (A2) a resin being insoluble or poorly soluble in alkali aqueous solution, but becoming soluble in an alkali aqueous solution by the action of an acid, (B) an acid generator, and (D) a compound represented by the formula (II), wherein R1 represents a hydrogen atom or a methyl group; A1 represents a C1 to C6 alkanediyl group; R2 represents a C1 to C10 hydrocarbon group having a fluorine atom; ring W1 represents a C2 to C36 substituted heterocyclic ring; R3 represents a C1 to C30 hydrocarbon group, and one or more —CH2— contained in the hydrocarbon group may be replaced by —O— or —CO—.
    Type: Grant
    Filed: February 24, 2012
    Date of Patent: October 29, 2013
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Koji Ichikawa, Satoshi Yamaguchi
  • Patent number: 8563215
    Abstract: The present invention provides novel diazonaphthoquinonesulfonic acid bisphenol derivatives. More particularly, the present invention relates to photo restive coating comprising alkali-soluble resin, a photoactive compound and a surfactant. The photoresist film prepared has less then one micron. The photoactive compound is soluble or swellable in aqueous alkaline solutions and is diazonaphthoquinonesulfonic bisphenol esters of the general formula (A), wherein DNQ represents a 2-Diazo-1-naphthoquinone-4-sulfonyl, 2-Diazo-1-naphthoquinone-5-sulfonyl, 1-Diazo-2-naphthoquinone-4-sulfonyl groups and R1 R1 represents an alkyl, aryl and substituted aryl groups. The invention also provides a process for coating and imaging the light-sensitive composition.
    Type: Grant
    Filed: March 20, 2008
    Date of Patent: October 22, 2013
    Assignee: Council of Scientific & Industrial Research
    Inventors: Vummadi Venkat Reddy, Vaidya Jayathirtha Rao, Mannepalli Lakshmi Kantam, Sunkara Sakunthala Madhavendra, Virendra Kumar Dwivedi
  • Patent number: 8563218
    Abstract: A resist composition of the present invention has (A1) a resin having a structural unit represented by the formula (I), (A2) a resin being insoluble or poorly soluble in alkali aqueous solution, but becoming soluble in an alkali aqueous solution by the action of an acid, (B) an acid generator and (D) a compound represented by the formula (II). wherein R1 represents a hydrogen atom or a methyl group; A1 represents a C1 to C6 alkanediyl group; R2 represents a C1 to C10 hydrocarbon group having a fluorine atom, R3 and R4 in each occurrence independently represent a C1 to C12 hydrocarbon group, a C1 to C6 alkoxyl group, a C2 to C7 acyl group, a C2 to C7 acyloxy group, a C2 to C7 alkoxycarbonyl group, a nitro group or a halogen atom; m? and n? independently represent an integer of 0 to 4.
    Type: Grant
    Filed: February 24, 2012
    Date of Patent: October 22, 2013
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Koji Ichikawa, Takahiro Yasue
  • Patent number: 8563230
    Abstract: A solvent vapor is made to adhere efficiently to the surface of a resist pattern without using an ultraviolet irradiation process to improve processing accuracy, to reduce processing time and to suppress the diffusion of the solvent outside a substrate processing system. The surface of a resist pattern R formed on a semiconductor wafer W by an exposure process and a developing process is coated with water molecules m. A solvent vapor of a water-soluble solvent, such as NMP, is spouted on the surface of the resist pattern R coated with the water molecules m. A surface layer of the resist pattern R is swollen by the solvent vapor combined with the water molecules m to achieve a smoothing process. The water molecules m and the solvent s remaining on the resist pattern R on the wafer W after the smoothing process are removed by drying.
    Type: Grant
    Filed: December 16, 2008
    Date of Patent: October 22, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Yuichiro Inatomi
  • Patent number: 8563217
    Abstract: A resist composition having; (A1) a resin having a structural unit represented by the formula (I), (A2) a resin having a structural unit represented by the formula (II) and being insoluble or poorly soluble in alkali aqueous solution, but becoming soluble in an alkali aqueous solution by the action of an acid and (B) an acid generator, wherein R1, A1, R2, R3, and ring X1 are defined in the specification.
    Type: Grant
    Filed: February 24, 2012
    Date of Patent: October 22, 2013
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Koji Ichikawa, Akira Kamabuchi
  • Patent number: 8563219
    Abstract: A resist composition containing; (A1) a resin having a structural unit represented by the formula (I), (A2) a resin being insoluble or poorly soluble in alkali aqueous solution, but becoming soluble in an alkali aqueous solution by the action of an acid, (B) an acid generator, and (D) a salt having an anion represented by the formula (IA), wherein R1, A1, R2, R1A and R2A are defined in the specification.
    Type: Grant
    Filed: February 24, 2012
    Date of Patent: October 22, 2013
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Koji Ichikawa, Tatsuro Masuyama, Satoshi Yamaguchi
  • Patent number: 8563231
    Abstract: Methods for forming a pattern in a lithography process for semiconductor wafer manufacturing are provided. In an example, a method includes forming a photoresist layer over a material layer; performing a first exposure process on the photoresist layer, thereby forming an exposed photoresist layer having soluble portions and unsoluble portions; treating the exposed photoresist layer, wherein the treating includes one of performing a second exposure process on the exposed photoresist layer and forming an adsorbing chemical layer over the exposed photoresist layer; and developing the exposed and treated photoresist layer to remove the soluble portions of the photoresist layer, wherein the unsoluble portions of the photoresist layer form a photoresist pattern that exposes portions of the material layer.
    Type: Grant
    Filed: September 22, 2011
    Date of Patent: October 22, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chien-Wei Wang, Ko-Bin Kao, Wei-Liang Lin, Jui-Ching Wu, Chia-Hsiang Lin, Ai-Jen Jung
  • Patent number: 8563214
    Abstract: The present invention relates to a radiation sensitive resin composition comprising [A] an alkali-soluble resin, [B] a 1,2-quinonediazide compound and [C] a radical trapping agent. The radiation sensitive resin composition can provide an interlayer insulating film which satisfies general requirements for an interlayer insulating film such as high light transmittance and has excellent heat-resistant dimensional stability, heat discoloration resistance and adhesion to a substrate along with the improvement of process efficiency for improving product yield at a high resolution and has excellent storage stability.
    Type: Grant
    Filed: October 14, 2010
    Date of Patent: October 22, 2013
    Assignees: Sharp Corporation, JSR Corporation
    Inventors: Katsuhiro Kikuchi, Kentaro Usui, Masakazu Shibasaki, Takashi Ochi, Tatsuro Kato, Kenichi Hamada, Masashi Arai, Megumi Murata, Hideaki Takase
  • Patent number: 8557498
    Abstract: A photosensitive resin composition is used that comprises a photosensitive silicone having a styryl group as a photosensitive group, and a photopolymerization initiator having a specific structure. As a result, a photosensitive resin composition capable of being cured in air by photopolymerization that is preferable for use as a buffer coat material or rewiring layer of an LSI chip, a method for forming a cured relief pattern using this photosensitive resin composition, and a semiconductor device comprising the cured relief pattern are provided.
    Type: Grant
    Filed: March 25, 2008
    Date of Patent: October 15, 2013
    Assignee: Asahi Kasei E-Materials Corporation
    Inventor: Tomohiro Yorisue
  • Patent number: 8557500
    Abstract: The present invention provides a salt represented by the formula (I): wherein Q1 and Q2 independently each represent a fluorine atom or a C1-C6 perfluoroalkyl group, L1 represents a C1-C17 divalent saturated hydrocarbon group in which one or more —CH2— can be replaced by —O— or —CO—, L2 represents a single bond or a C1-C6 alkanediyl group in which one or more —CH2— can be replaced by —O— or —CO—, Y represents a C3-C18 alicyclic hydrocarbon group which can have one or more substituents, and one or more —CH2— in the alicyclic hydrocarbon group can be replaced by —O—, —CO— or —SO2—, and Z+ represents an organic counter ion.
    Type: Grant
    Filed: February 28, 2012
    Date of Patent: October 15, 2013
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Koji Ichikawa, Hiromu Sakamoto, Takahiro Yasue
  • Patent number: 8557144
    Abstract: A material for forming a conductive antireflection film contains: a base resin having conductivity; a crosslinking agent; a thermal acid generator; and a solvent.
    Type: Grant
    Filed: September 16, 2009
    Date of Patent: October 15, 2013
    Assignee: Fujitsu Limited
    Inventor: Junichi Kon
  • Patent number: 8551685
    Abstract: According to one embodiment, an ultraviolet-curing resin material for pattern transfer contains at least one of 2-methyl-2-adamantyl acrylate, 2-ethyl-2-adamantyl acrylate, and 1,3-adamantanedimethanol diacrylate, isobornyl acrylate, polyfunctional acrylate, and a polymerization initiator, or contains at least one of the acrylates described above, a polymerization initiator, and fluorine-based alcohol.
    Type: Grant
    Filed: January 10, 2013
    Date of Patent: October 8, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Seiji Morita, Kazuyo Umezawa, Masatoshi Sakurai
  • Patent number: 8551692
    Abstract: Techniques are provided for making a funnel-shaped nozzle in a semiconductor substrate. The funnel-shaped recess includes a straight-walled bottom portion and a curved top portion having a curved sidewall gradually converging toward and smoothly joined to the straight-walled bottom portion, and the curved top portion encloses a volume that is substantially greater than a volume enclosed by the straight-walled bottom portion.
    Type: Grant
    Filed: April 30, 2012
    Date of Patent: October 8, 2013
    Assignee: FUJILFILM Corporation
    Inventors: Gregory De Brabander, Mark Nepomnishy, John A. Higginson
  • Patent number: 8551684
    Abstract: A polymer for forming a resist protection film which is used in a liquid immersion lithography process to protect a photoresist layer, a composition for forming a resist protection film, and a method of forming a pattern of a semiconductor device using the composition are disclosed. The polymer for forming a resist protection film includes a repeating unit represented by Formula 1 below. In Formula 1, R1 is a hydrogen atom (H), a fluorine atom (F), a methyl group (—CH3), a C1-C20 fluoroalkyl group, or a C1-C5 hydroxyalkyl group, R2 is a C1-C10 linear or branched alkylene group or alkylidene group, or a C5-C10 cycloalkylene group or cycloalkylidene group, X is wherein n is an integer of 0 to 5 and * denotes the remaining moiety of Formula 1 after excluding X, and m, the stoichiometric coefficient of X, is 1 or 2.
    Type: Grant
    Filed: June 30, 2011
    Date of Patent: October 8, 2013
    Assignee: Dongjin Semichem Co., Ltd.
    Inventors: Jong Kyoung Park, Man Ho Han, Hyun Jin Kim, Deog Bae Kim
  • Patent number: 8545738
    Abstract: Provided are ink compositions, methods for making photochromic inks, and methods of using the photochromic inks for erasable media annotation. In accordance with various embodiments, there is an ink composition including a carrier medium and one or more photochromic species in the carrier medium, wherein each of the one or more photochromic species can be selected from the group consisting of monomeric photochromic molecules, photochromic oligomers, and photochromic polymers, and wherein each one of the one or more photochromic species exhibits a reversible transition from a colorless state to a colored state upon exposure to a radiant condition wherein the radiant condition can be selected from the group consisting of a radiant energy and a combination of a radiant energy and thermal energy.
    Type: Grant
    Filed: August 11, 2010
    Date of Patent: October 1, 2013
    Assignee: Xerox Corporation
    Inventors: Peter M. Kazmaier, Gabriel Iftime, Kentaro Morimitsu, Adela Goredema
  • Patent number: 8546059
    Abstract: The present invention provides a photoresist composition comprising a resin, an acid generator and a compound represented by the formula (C1): wherein Rc1 represents an aromatic group which can have one or more substituents, Rc2 and Rc3 independently each represent a hydrogen atom, an aliphatic hydrocarbon group which can have one or more substituents or an aromatic group which can have one or more substituents, Rc4 and Rc6 independently each represent a hydrogen atom or an aliphatic hydrocarbon group which can have one or more substituents, or Rc4 and Rc6 are bonded each other to form an alkanediyl group, Rc5 represents an aliphatic hydrocarbon group which can have one or more substituents or an amino group which can have one or two substituents, Rc7 represents a hydrogen atom or an aliphatic hydrocarbon group which can have one or more substituents, or Rc5 and Rc7 are bonded each other to form an alkanediyl group.
    Type: Grant
    Filed: November 24, 2010
    Date of Patent: October 1, 2013
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Koji Ichikawa, Takayuki Miyagawa, Mitsuhiro Hata
  • Patent number: 8546069
    Abstract: The present invention relates to photolithography methods for enhancing lithographic imaging of isolated and semi-isolated features. A first layer of a first photoresist is formed over a substrate. A second layer of a second photoresist is formed over the first layer. The second photoresist includes a polymer containing an absorbing moiety. The second layer is exposed through a first patterned mask and developed to form a first relief image. The first relief image and the first layer are exposed through a second patterned mask. One of the first and the second patterned masks includes a dense pattern, while the other includes an isolated or a semi-isolated pattern. The first relief image and base soluble regions of the first layer are removed to form a second relief image with an isolated or a semi-isolated pattern. The second layer can also be bleachable upon exposure and bake in the present invention.
    Type: Grant
    Filed: January 15, 2009
    Date of Patent: October 1, 2013
    Assignee: International Business Machines Corporation
    Inventors: Wu-Song Huang, Gregory R. McIntyre
  • Patent number: 8540824
    Abstract: The transporting process from cleaning and drying processing of a substrate in a cleaning/drying processing unit in a cleaning/drying processing group to post-exposure bake (PEB) of the substrate in a thermal processing group for post-exposure bake in a cleaning/drying processing block is described below. First, after the substrate after exposure processing is subjected to the cleaning and drying processing in the cleaning/drying processing group, a sixth central robot takes out the substrate from the cleaning/drying processing group and carries that substrate into the thermal processing group for post-exposure bake in the cleaning/drying processing block.
    Type: Grant
    Filed: June 10, 2010
    Date of Patent: September 24, 2013
    Assignee: Sokudo Co., Ltd.
    Inventors: Koji Kaneyama, Masashi Kanaoka, Tadashi Miyagi, Kazuhito Shigemori, Shuichi Yasuda
  • Patent number: 8541158
    Abstract: A positive resist composition is provided comprising an acid generator, a resin component which generates resin-solubilizing groups under the action of acid so that the resin component becomes soluble in an alkaline developer, at least some resin-solubilizing groups being carboxyl groups, and a compound for activating or condensing a carboxyl group. When processed by the lithography, the resist composition forms a resist pattern having a very high resolution and good mask fidelity.
    Type: Grant
    Filed: January 25, 2011
    Date of Patent: September 24, 2013
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Wataru Kusaki, Takeshi Kinsho, Takeru Watanabe
  • Patent number: 8535869
    Abstract: A sulfonium salt of a naphthylsulfonium cation having a hydrophilic phenolic hydroxyl group or ethylene glycol chain with a specific anion is provided. The sulfonium salt is used as a photoacid generator to form a resist composition which when processed by immersion lithography, offers advantages of restrained dissolution in the immersion water and less pattern dependence or dark-bright difference.
    Type: Grant
    Filed: August 22, 2011
    Date of Patent: September 17, 2013
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Youichi Ohsawa, Masayoshi Sagehashi, Tomohiro Kobayashi
  • Patent number: 8535875
    Abstract: An embodiment of the present invention provides a method of applying patterned metallization to a ceramic block comprising applying a photodefinable ink to said ceramic block; drying said ink; exposing said photodefinable ink to UV radiation through a predefined mask according to the thickness of the film to form a pattern; developing said pattern in a developer solution thereby forming a patterned ceramic block; and rinsing, drying and firing said patterned ceramic block.
    Type: Grant
    Filed: September 13, 2012
    Date of Patent: September 17, 2013
    Assignee: Blackberry Limited
    Inventors: Luna H. Chiu, Chen Zhang, John King, Barry Treadway, George Kang
  • Patent number: 8530135
    Abstract: The present invention provides a photoresist composition comprising a resin having an acid-labile group and being insoluble or poorly soluble in an aqueous alkali solution but becoming soluble in an aqueous alkali solution by the action of an acid, an acid generator and a compound represented by the formula (I): wherein Z1 represents a C7-C20 alkylene group, a C3-C20 divalent saturated cyclic group or a divalent group formed by combining at least one C1-C6 alkylene group with at least one C3-C20 divalent saturated cyclic group.
    Type: Grant
    Filed: September 22, 2010
    Date of Patent: September 10, 2013
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Satoshi Yamaguchi, Soon Shin Kim, Isao Yoshida, Koji Ichikawa
  • Patent number: 8530137
    Abstract: The present invention provides a photoresist composition having a sulfonium salt comprising an anion represented by the formula (IA): wherein R1 and R2 independently represent a hydrogen atom, a C1-C12 aliphatic hydrocarbon group, a C3-C20 saturated cyclic hydrocarbon group, a C6-C20 aromatic hydrocarbon group or a C7-C21 aralkyl group, and the aliphatic hydrocarbon group, the saturated cyclic hydrocarbon group, the aromatic hydrocarbon group and the aralkyl group can have one or more substituents selected from the group consisting of a hydroxyl group, a cyano group, a fluorine atom, a trifluoromethyl group and a nitro group, and one or more —CH2— in the aliphatic hydrocarbon group can be replaced by —O— or —CO—, or R1 and R2 are bonded each other to form a C4-C20 nitrogen-containing ring together with the nitrogen atom to which they are bonded, an acrylic resin having an acid-labile group and being insoluble or poorly soluble in an aqueous alkali solution but becoming soluble in an aqueous alkali solutio
    Type: Grant
    Filed: February 11, 2011
    Date of Patent: September 10, 2013
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Tatsuro Masuyama, Satoshi Yamaguchi
  • Patent number: 8530147
    Abstract: The invention is directed to a method for patterning a material layer. The method comprises steps of forming a first mask layer on the material layer and then patterning the first mask layer. The patterned first mask layer has a pattern therein and a plurality of gaps within the patterns and the gaps expose a portion of the material layer. Further, a second mask layer is formed over the material layer and the second mask layer fills the gaps. An interface layer is formed between the patterned first mask layer and the second mask layer. A portion of the second material layer is removed until the top surface of the interface layer is exposed. The interface layer is removed to expose a portion of the material layer and the material layer is patterned by using the patterned first mask layer and the second mask layer as a mask.
    Type: Grant
    Filed: November 21, 2007
    Date of Patent: September 10, 2013
    Assignee: MACRONIX International Co., Ltd.
    Inventor: Chin-Cheng Yang
  • Patent number: 8530136
    Abstract: Phenolic molecular glasses such as calixarenes include at least one fluoroalcohol containing unit. The fluoroalcohol containing molecular glasses can be used in photoresist compositions. Also disclosed are processes for generating a resist image on a substrate using the photoresist composition.
    Type: Grant
    Filed: December 17, 2010
    Date of Patent: September 10, 2013
    Assignee: International Business Machines Corporation
    Inventors: Luisa D. Bozano, Gregory Breyta, Ekmini A. DeSilva, William D. Hinsberg, Ratnam Sooriyakumaran, Linda K. Sundberg
  • Patent number: 8524442
    Abstract: A combined laminating and exposing apparatus for exposing a photosensitive printing blank to actinic radiation in a printing plate manufacturing system and a method of using the same are disclosed. The photosensitive printing blank comprises a backing layer, at least one photocurable layer disposed on the backing layer, and a laser ablatable mask layer disposed on the at least one photocurable layer, wherein the laser ablatable mask layer is laser ablated to create an in situ negative in the laser ablatable mask layer. The exposing apparatus comprises: (a) a laminating apparatus for laminating an oxygen barrier layer to a top of the laser ablated mask layer; (b) a conveyor; (c) a first exposing device for imagewise exposing the at least one photocurable layer to actinic radiation, and (d) a second exposing device for exposing the at least one photocurable layer to actinic radiation through the backing layer.
    Type: Grant
    Filed: February 13, 2012
    Date of Patent: September 3, 2013
    Inventors: David A. Recchia, Kyle P. Baldwin, Timothy Gotsick
  • Patent number: 8507173
    Abstract: A pattern is formed by applying a first positive resist composition onto a substrate, heat treatment, exposure, heat treatment and development to form a first resist pattern; causing the first resist pattern to crosslink and cure by irradiation of high-energy radiation of 200-320 nm wavelength; further applying a second positive resist composition onto the substrate, heat treatment, exposure, heat treatment and development to form a second resist pattern. The double patterning process reduces the pitch between patterns to one half.
    Type: Grant
    Filed: December 8, 2009
    Date of Patent: August 13, 2013
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Kazuhiro Katayama
  • Patent number: 8507188
    Abstract: The present invention relates to a method for manufacturing micro/nanofluidic devices that incorporate overhanging micromechanical and metal components monolithically integrated with the fluidic circuitry.
    Type: Grant
    Filed: May 22, 2007
    Date of Patent: August 13, 2013
    Assignee: Poc Microsolutions, S.L.
    Inventors: Francisco Javier Blanco Barrio, Maria Aguirregabiria Izaguirre, Aitor Ezkerra Fernandez, Janette Lilian Maria Schulze, Jesús Miguel Ruano Lopez, Kepa Mayora Oria
  • Patent number: 8507185
    Abstract: Methods of forming electronic devices are provided. The methods involve alkaline treatment of photoresist patterns and allow for the formation of high density resist patterns. The methods find particular applicability in semiconductor device manufacture.
    Type: Grant
    Filed: June 28, 2010
    Date of Patent: August 13, 2013
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Young Cheol Bae, Thomas Cardolaccia, Yi Liu
  • Patent number: 8507176
    Abstract: Provided are radiation-sensitive polymers and compositions which may be used in photolithographic processes. The polymers and compositions provide enhanced sensitivity to activating radiation.
    Type: Grant
    Filed: December 30, 2010
    Date of Patent: August 13, 2013
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: James W. Thackeray, Emad Aqad
  • Patent number: 8507177
    Abstract: A material for use in lithography processing includes a polymer that turns soluble to a base solution in response to reaction with acid and a plurality of magnetically amplified generators (MAGs) each having a magnetic element and each decomposing to form acid bonded with the magnetic element in response to radiation energy.
    Type: Grant
    Filed: March 17, 2011
    Date of Patent: August 13, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsien-Cheng Wang, Chin-Hsiang Lin, Heng-Jen Lee, Ching-Yu Chang, Hua-Tai Lin, Burn Jeng Lin
  • Patent number: 8501384
    Abstract: A positive resist composition comprising a polymer having a tetrahydrobenzocycloheptane-substituted secondary or tertiary carboxyl group ester as an acid labile group exhibits a high contrast of alkaline dissolution rate before and after exposure, a high resolution, a good pattern profile and minimal edge roughness after exposure, a significant effect of suppressing acid diffusion rate, and improved etching resistance.
    Type: Grant
    Filed: January 7, 2011
    Date of Patent: August 6, 2013
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Takeru Watanabe, Seiichiro Tachibana
  • Patent number: 8496761
    Abstract: A substrate processing apparatus comprises an indexer block, an anti-reflection film processing block, a resist film processing block, a development processing block, and an interface block. An exposure device is arranged adjacent to an interface block. The interface block comprises a drying processing group including two drying processing units and an interface transport mechanism. After a substrate is subjected to exposure processing by the exposure device, the substrate is transported to the drying processing units in the drying processing group by the interface transport mechanism, where the substrate is subjected to cleaning and drying processings.
    Type: Grant
    Filed: April 6, 2010
    Date of Patent: July 30, 2013
    Assignee: Sokudo Co., Ltd.
    Inventors: Koji Kaneyama, Akihiro Hisai, Toru Asano, Hiroshi Kobayashi, Tsuyoshi Okumura, Shuichi Yasuda, Masashi Kanaoka, Tadashi Miyagi, Kazuhito Shigemori
  • Patent number: 8492075
    Abstract: Methods of forming electronic devices are provided. The methods involve alkaline treatment of photoresist patterns and allow for the formation of high density resist patterns. The methods find particular applicability in semiconductor device manufacture.
    Type: Grant
    Filed: June 28, 2010
    Date of Patent: July 23, 2013
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Young Cheol Bae, Thomas Cardolaccia, Yi Liu
  • Patent number: 8492067
    Abstract: A positive lift-off resist composition is provided comprising (A) an alkali-soluble novolac resin, (B) a quinonediazidosulfonate photosensitive agent, (C) an alkali-soluble cellulose resin, and (D) an aromatic hydroxy compound having a formula weight of 180-800. The composition has shelf stability, high sensitivity, and a film retention after development of at least 95% and is used to form a lift-off resist pattern of fully undercut profile.
    Type: Grant
    Filed: November 11, 2011
    Date of Patent: July 23, 2013
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventor: Yoshinori Hirano
  • Patent number: 8481244
    Abstract: A photo-curable resin composition comprising an epoxy-containing silphenylene or silicone polymer with a Mw of 3,000-500,000 forms a coating which is useful as a protective film for electric/electronic parts.
    Type: Grant
    Filed: September 24, 2010
    Date of Patent: July 9, 2013
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Takanobu Takeda, Hideto Kato
  • Patent number: 8481247
    Abstract: To provide a resist underlayer film forming composition for lithography that is used in a lithography process for production of a semiconductor device. There is provided a resist underlayer film forming composition used in a lithography process for production of a semiconductor device, comprising a resin (A), a liquid additive (B) and a solvent (C). The liquid additive (B) may be an aliphatic polyether compound. The liquid additive (B) may be a polyether polyol, polyglycidyl ether or a combination thereof. Further, there is provided a method of manufacturing a semiconductor device, including the steps of forming a resist underlayer film by applying the resist underlayer film forming composition on a semiconductor substrate and by calcining the composition; forming a photoresist layer on the underlayer film; exposing the semiconductor substrate coated with the resist underlayer film and the photoresist layer to light; and developing the photoresist layer after the exposure to light.
    Type: Grant
    Filed: August 20, 2007
    Date of Patent: July 9, 2013
    Assignee: Nissan Chemical Industries, Ltd.
    Inventors: Yusuke Horiguchi, Tetsuya Shinjo, Satoshi Takei
  • Patent number: 8481249
    Abstract: A method for manufacturing a recording head including forming a flow-channel side-wall forming layer which contains a photosensitive resin, on a substrate having ejection energy generating elements and wiring thereon; exposing the flow-channel side-wall forming layer to light, and optically determining a flow channel; forming a shape stabilizing layer which contains a photosensitive resin; forming an ejection orifice forming layer which contains a photopolymerization initiator and a negative photosensitive resin; exposing the ejection orifice forming layer to light, and optically determining an ejection orifice; and developing the ejection orifice forming layer, shape stabilizing layer, and flow-channel side-wall forming layer, in the order named. The photosensitive resin in the shape stabilizing layer is a material to be cured by a component that is produced upon the exposure of the ejection orifice forming layer and derives from the photopolymerization initiator.
    Type: Grant
    Filed: July 3, 2012
    Date of Patent: July 9, 2013
    Assignee: Canon Kabushiki Kaisha
    Inventors: Keiji Matsumoto, Kazuhiro Asai, Tetsuro Honda, Kunihito Uohashi, Shuji Koyama, Masaki Ohsumi
  • Patent number: 8481161
    Abstract: A printable metal nanoparticle having a self-assembled monolayer (SAM) composed of a compound containing a thiol (—SH), isocyanide (—CN), amino (—NH2), carboxylate (—COO) or phosphate group, as a linker, formed on the surface thereof, and a method for formation of a conductive pattern using the same are provided. The metal nanoparticles of an exemplary embodiment can be easily formed into a conductive film or pattern by a printing method, and the resulting film or pattern exhibits excellent conductivity which optimally may be adjusted if desired. Therefore, the resulting metal nanoparticles of can be used to advantage in the fields such as antistatic washable sticky mats, antistatic shoes, conductive polyurethane printer rollers, electromagnetic interference shielding materials, etc.
    Type: Grant
    Filed: October 31, 2006
    Date of Patent: July 9, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jong Jin Park, Sung Woong Kim, Dong Woo Shin, Sang Hoon Park
  • Patent number: 8481242
    Abstract: A salt represented by the formula (X): wherein Q1 and Q2, L1 and L2, ring W1, R5, w, v, Z+ and W10 are defined in the specification.
    Type: Grant
    Filed: November 16, 2010
    Date of Patent: July 9, 2013
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Koji Ichikawa, Mitsuyoshi Ochiai, Masako Sugihara
  • Patent number: 8481243
    Abstract: The present invention provides a resin comprising a structural unit represented by the formula (aa): wherein R1 represents a C1-C6 alkyl group optionally having one or more halogen atoms, a hydrogen atom or a halogen atom, T1 represents a C4-C34 sultone ring group optionally having one or more substituents, Z1 represents a C1-C6 alkanediyl group optionally having one or more substituents, or a group represented by the formula (a-1): -A10X10-A11sX11-A12-??(a-1) wherein X10 and X11 each independently represents —O—, —NH—, —CO—, —CO—O—, —O—CO—, —CO—NH— or —NH—CO—, A10, A11 and A12 each independently represent a C1-C5 divalent aliphatic hydrocarbon group optionally having one or more substituents, and s represents 0 or 1, and Z2 represents a single bond or —CO—.
    Type: Grant
    Filed: January 9, 2012
    Date of Patent: July 9, 2013
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Hyungjoo Kim, Akira Kamabuchi, Koji Ichikawa
  • Patent number: 8470501
    Abstract: A fabrication method is provided which makes it possible to obtain a microlens that is more tightly spaced (the space between individual microlenses is smaller) than in the related art, even when the same fabrication process as that in the related art is used. The present invention provides a mask used for fabrication of a microlens to irradiate a photosensitive microlens material on a substrate with a patterned light beam, including a main layout, and a sub-layout provided around the main layout, in which when the mask is irradiated with a light beam, a first beam pattern is obtained by the main layout at a position corresponding to a center portion of the microlens, and a second beam pattern separated (resolved) from the first beam pattern is obtained by the sub-layout around the first beam pattern.
    Type: Grant
    Filed: June 17, 2011
    Date of Patent: June 25, 2013
    Assignee: Renesas Electronics Corporation
    Inventor: Sachiko Ogawa
  • Patent number: 8470519
    Abstract: Disclosed is a method of removing a photoresist pattern, which includes radiating light onto a substrate having a photoresist pattern formed thereon and implanted with a predetermined dopant so that the temperature of the substrate is increased to be equal to or higher than a temperature able to remove the photoresist pattern, and by which the photoresist pattern formed on the substrate can be almost completely removed using a simple process for radiating light onto the substrate so that the temperature of the substrate is increased to be equal to or higher than a temperature able to the photoresist pattern.
    Type: Grant
    Filed: February 10, 2011
    Date of Patent: June 25, 2013
    Assignee: Korea University Research and Business Foundation
    Inventors: Dong-Jin Byun, Sam-Seok Jang, Bum-Joon Kim, Jung-Geun Jhin, Sang-Il Kim, Do-Han Lee
  • Patent number: 8465903
    Abstract: Methods for forming photoresists sensitive to radiation on a substrate are provided. Described are chemical vapor deposition methods of forming films (e.g., silicon-containing films) as photoresists using a plasma which may be exposed to radiation to form a pattern. The deposition methods utilize precursors with cross-linkable moieties that will cross-link upon exposure to radiation. Radiation may be carried out in the with or without the presence of oxygen. Exposed or unexposed areas may then be developed in an aqueous base developer.
    Type: Grant
    Filed: October 6, 2011
    Date of Patent: June 18, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Timothy W. Weidman, Timothy Michaelson, Paul Deaton, Nitin K. Ingle, Abhijit Basu Mallick, Amit Chatterjee
  • Patent number: 8465910
    Abstract: Lithographic Method. The method fabricates complex structures and includes depositing a photoresist onto a substrate, the photoresist including a predominantly thermal band of optical absorption possibly due to the incorporation of a doping agent. A three-dimensional pattern is generated within the resist using a first wavelength of light to effect activation of a photoinitiator to produce a latently photostructured resist. Focused laser spike annealing of the photostructured resist with a second wavelength of light selected to be absorbed by the thermally absorbing band to accelerate the photoinduced reaction in the resist is provided. Three-dimensional direct writing may be performed within the resist to define features not part of the interference pattern and the resist is developed to produce the complex structure.
    Type: Grant
    Filed: June 29, 2011
    Date of Patent: June 18, 2013
    Assignee: Massachusetts Institute of Technology
    Inventors: Jonathan Phillip Singer, Jae-Hwang Lee, Steven E. Kooi, Edwin Lorimer Thomas