Patents Examined by H. Jey Tsai
  • Patent number: 7316956
    Abstract: A method for fabricating a wire with silicide is disclosed. First, a conductive layer is formed on a substrate. And, a hard mask layer is formed on the conductive layer. Then, the hard mask layer is used as a mask to remove a portion of the conductive layer. Afterwards, a spacer is formed on the sidewalls of the conductive layer and the hard mask layer. Afterwards, the hard mask layer is removed. Next, a silicide is formed on the conductive layer.
    Type: Grant
    Filed: November 3, 2005
    Date of Patent: January 8, 2008
    Assignee: Powerchip Semiconductor Corp.
    Inventors: Rex Young, Liang-Chuan Lai
  • Patent number: 7314776
    Abstract: Briefly, in accordance with an embodiment of the invention, a method to manufacture a phase change memory is provided. The method may include forming a first electrode contacting the sidewall surface and the bottom surface of the phase change material. The method may further include forming a second electrode contacting the top surface of the phase change material.
    Type: Grant
    Filed: December 13, 2002
    Date of Patent: January 1, 2008
    Assignee: Ovonyx, Inc.
    Inventors: Brian G. Johnson, Charles H. Dennison
  • Patent number: 7314799
    Abstract: Junction field-effect transistors with vertical channels and self-aligned regrown gates and methods of making these devices are described. The methods use techniques to selectively grow and/or selectively remove semiconductor material to form a p-n junction gate along the sides of the channel and on the bottom of trenches separating source fingers. Methods of making bipolar junction transistors with self-aligned regrown base contact regions and methods of making these devices are also described. The semiconductor devices can be made in silicon carbide.
    Type: Grant
    Filed: December 5, 2005
    Date of Patent: January 1, 2008
    Assignee: SemiSouth Laboratories, Inc.
    Inventors: Joseph Neil Merrett, Igor Sankin
  • Patent number: 7312116
    Abstract: In a method of forming a metal-insulator-metal (MIM) capacitor in a semiconductor device, after forming a capacitor insulation layer on a lower metal layer of the MIM capacitor, an upper electrode is formed by ion implantation into the capacitor insulation layer and silicidation, without a typical reactive ion etching process. Consequently, damage to the capacitor insulation layer can be minimized, and the area of the capacitor need not increase.
    Type: Grant
    Filed: December 20, 2005
    Date of Patent: December 25, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Joo-Hyun Lee
  • Patent number: 7306987
    Abstract: A capacitor structure and a method of fabricating the capacitor structure wherein. The lower electrode and the upper electrode are constructed to be separated from each other by a predetermined interval and to be engaged with each other using a series of alternating ridges so that an effective surface area can increase within a limited area.
    Type: Grant
    Filed: December 29, 2005
    Date of Patent: December 11, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventors: Chee Hong Choi, Dong Yeal Keum
  • Patent number: 7307292
    Abstract: An insulating-gate semiconductor device has a first nitride semiconductor layer formed over a substrate and an insulating oxidation layer obtained by oxidizing a second nitride semiconductor layer formed on the first nitride semiconductor layer. A gate electrode is formed on the insulating oxidation layer.
    Type: Grant
    Filed: June 9, 2003
    Date of Patent: December 11, 2007
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Katsunori Nishii, Kaoru Inoue, Toshinobu Matsuno, Yoshito Ikeda, Hiroyuki Masato
  • Patent number: 7300887
    Abstract: Methods of forming metal nitride layers on a substrate include reacting a metal source gas with a nitrogen source gas in a process chamber to form a metal nitride layer on the substrate. The process chamber may have an atmosphere having a pressure of about 0.1 mTorr to about 5 mTorr and a temperature of about 200° C. to about 450° C. A ratio of the flow rate of the metal source gas to the flow rate of the nitrogen source gas may be “1” or more. An interlayer insulating layer may be formed on the semiconductor substrate prior to formation of the metal nitride layer.
    Type: Grant
    Filed: September 15, 2005
    Date of Patent: November 27, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jae-Hwa Park, Kwang-Jin Moon, Gil-Heyun Choi, Sang-Woo Lee, Jeong-Tae Kim, Jang-Hee Lee
  • Patent number: 7300834
    Abstract: Disclosed herein are methods of forming a well in a semiconductor device, in which a well end point under a trench is formed deeper than other area by well implantation prior to trench filling and by which leakage current is minimized. In one example, the disclosed method includes forming a trench in a surface of a substrate to define a field area, forming a first conductive type well in a first active area of the substrate, forming a second conductive type well in a second active area of the substrate, and filling up the trench with a dielectric.
    Type: Grant
    Filed: December 28, 2004
    Date of Patent: November 27, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Dae Kyeun Kim
  • Patent number: 7297599
    Abstract: A method of fabricating a semiconductor device includes forming on a semiconductor substrate a gate electrode with a gate insulating film being interposed between the substrate and the electrode, forming an insulating film for element isolation protruding from a surface of the semiconductor substrate, forming an oxide film on the surface of the semiconductor substrate with the gate electrode and the element isolation insulating film having been formed, removing the oxide film in a region in which a self-aligned contact hole is to be formed while using a resist pattern for removing the oxide film formed in a region in which the self-aligned contact hole is formed, and etching a part of the element isolation insulating film protruding from the surface of the semiconductor substrate so that said part is substantially on a level with the surface of the semiconductor substrate, while using the resist pattern for removing the oxide film formed in the region in which the self-aligned contact hole is formed.
    Type: Grant
    Filed: September 7, 2005
    Date of Patent: November 20, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Norio Ohtani, Hirohisa Iizuka, Hiroaki Hazama, Kazuhito Narita, Eiji Kamiya
  • Patent number: 7291534
    Abstract: A method of manufacturing a semiconductor device has the steps of: preparing a semiconductor substrate having a structure in which first and second active regions are isolated by a field oxide; forming a first insulation film and a first film on the semiconductor substrate; exposing the first active region in the first active region; forming a second insulation film and a first conductive film over the first active region, the second insulation film being thicker than the first insulation film; processing the first conductive film and the second insulation film into a first gate electrode and a first gate insulation film; exposing the second active region in the second active region; forming a third insulation film and a second conductive film on the over the second active region, the third insulation film being thinner than the second insulation film; and processing the second conductive film and the third insulation film into a second gate electrode and a second gate insulation film.
    Type: Grant
    Filed: March 13, 2006
    Date of Patent: November 6, 2007
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Noriko Tomita
  • Patent number: 7288466
    Abstract: A processing method for selectively reducing or removing the region to be exposed with energy ray in a film formed on a substrate, comprising relatively scanning a first exposure light whose shape on the substrate is smaller than the whole first region to be exposed against the whole first region to be exposed to selectively remove or reduce the first region to be exposed, and exposing a whole second region to be exposed inside the whole first region to be exposed with a second exposure light to selectively expose the whole second region to be exposed.
    Type: Grant
    Filed: May 14, 2003
    Date of Patent: October 30, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Tomoyuki Takeishi, Kenji Kawano, Hiroshi Ikegami, Shinichi Ito, Riichiro Takahashi
  • Patent number: 7288424
    Abstract: An integrated device includes one or more device drivers and a micro-electro-mechanical system (MEMS) structure monolithically coupled to the one or more device drivers. The one or more device drivers are configured to process received control signals and to transmit the processed control signals to the MEMS structure. Methods of fabricating integrated devices are also disclosed.
    Type: Grant
    Filed: November 24, 2003
    Date of Patent: October 30, 2007
    Assignee: Silicon Light Machines Corporation
    Inventors: James A. Hunter, Charles B. Roxlo, Alexander Payne
  • Patent number: 7288453
    Abstract: There is provided a method of fabricating an analog capacitor using a post-treatment technique. The method includes forming a lower insulating layer on a semiconductor substrate. A bottom electrode is formed on the lower insulating layer, and a capacitor dielectric layer is formed on the bottom electrode. Then, the capacitor dielectric layer is post-treated in a deoxidizing medium. Then, the post-treated capacitor dielectric layer is post-treated in an oxidizing medium. A top electrode is formed on the post-treated capacitor dielectric layer. The analog capacitor fabricated through the post-treatment as above has a low VCC.
    Type: Grant
    Filed: February 23, 2005
    Date of Patent: October 30, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yong-Kuk Jeong, Seok-Jun Won, Dae-Jin Kwon
  • Patent number: 7285454
    Abstract: Complementary metal-oxide-semiconductor (CMOS) integrated circuits with bipolar transistors and methods for fabrication are provided. A bipolar transistor may have a lightly-doped base region. To reduce the resistance associated with making electrical contact to the lightly-doped base region, a low-resistance current path into the base region may be provided. The low-resistance current path may be provided by a base conductor formed from heavily-doped epitaxial crystalline semiconductor. Metal-oxide-semiconductor (MOS) transistors with narrow gates may be formed on the same substrate as bipolar transistors. The MOS gates may be formed using a self-aligned process in which a patterned gate conductor layer serves as both an implantation mask and as a gate conductor. A base masking layer that is separate from the patterned gate conductor layer may be used as an implantation mask for defining the lightly-doped base region.
    Type: Grant
    Filed: August 19, 2005
    Date of Patent: October 23, 2007
    Assignee: Altera Corporation
    Inventors: Minchang Liang, Yow-Juang Liu, Fangyun Richter
  • Patent number: 7282408
    Abstract: A method for forming a ruthenium metal layer on a dielectric layer comprises forming a silicon dioxide layer, then treating the silicon dioxide with a silicon-containing gas, for example silicon hydrides such as silane, disilane, or methylated silanes. Subsequently, a ruthenium metal layer is formed on the treated dielectric layer. Treating the dielectric layer with a silicon-containing gas enhances adhesion between the dielectric and the ruthenium without requiring the addition of a separate adhesion layer between the dielectric layer and the ruthenium metal layer.
    Type: Grant
    Filed: May 18, 2004
    Date of Patent: October 16, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Eugene P. Marsh, Brenda D. Kraus
  • Patent number: 7273787
    Abstract: A method for manufacturing a gate dielectric layer is provided. A substrate divided into at least a high voltage circuit region and a low voltage circuit region is provided. A first dielectric layer serving as gate dielectric layer in the high voltage circuit region is formed on the substrate. A mask layer is formed over the first dielectric layer. The mask layer, the first dielectric layer and the substrate are patterned to form trenches in the substrate. An isolation layer is formed to fill the trenches. The mask layer and part of the isolation layer are removed to expose the surface of the first dielectric layer. The first dielectric layer of the low voltage circuit region is removed to expose the surface of the substrate. A second dielectric layer having a thickness smaller than the first dielectric layer is formed on the substrate in the low voltage circuit region.
    Type: Grant
    Filed: November 18, 2005
    Date of Patent: September 25, 2007
    Assignee: Powerchip Semiconductor Corp.
    Inventors: Wen-Ji Chen, Tung-Po Chen, Kai-An Hsueh, Sheng-Hone Zheng
  • Patent number: 7273760
    Abstract: The present invention provides a method of manufacturing a semiconductor device that can inhibit deterioration of the ferroelectric film cased by hydrogen generated in a wiring layer. The method of manufacturing a semiconductor device includes steps of forming the ferroelectric capacitor by laminating first electrode 8, ferroelectric film 9, second electrode 10, covering the ferroelectric capacitor by insulating film 11, forming opening 13d that exposes the second electrode 10 on the insulating film 11, depositing or forming conductive hydrogen protective film 20, forming wiring layer 14 on the conductive hydrogen protective film 20, and patterning the wiring layer 14 and the conductive hydrogen protective layer 20 after forming the wiring layer 14.
    Type: Grant
    Filed: July 23, 2004
    Date of Patent: September 25, 2007
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Koji Takaya
  • Patent number: 7273781
    Abstract: To form a capacitor in a semiconductor device, an etching barrier layer and a mold insulating layer are sequentially formed on an interlayer insulating film having a contact plug. A hole exposing the contact plug is formed by etching the mold insulating layer and the etching barrier layer. A first blocking layer having a wet etching rate lower than that of the mold insulating layer is formed on the hole sidewall. A storage electrode and a second blocking layer made from the identical material of the first blocking layer are formed on the resultant structure. The predetermined portions of the second blocking layer and the metal layer formed on the mold insulating layer are removed. A cylinder type storage electrode is formed by wet etching the mold insulating layer. A dielectric layer is formed on the cylinder type storage electrode. A plate electrode is formed on the dielectric layer.
    Type: Grant
    Filed: October 20, 2005
    Date of Patent: September 25, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventor: Kee Jeung Lee
  • Patent number: 7265422
    Abstract: Techniques for ESD protection are provided. An ESD protection device includes a first well region and a second well region disposed in a semiconductor substrate, with an isolation region therebetween. N+ implant regions are disposed in the second well region and are coupled in common at a first node. NLDD regions are disposed between the N+ implant regions, and pocket implants underlie each of the NLDD regions. Current discharge paths are defined by corresponding NLDD regions and pocket implants when a voltage of the first node exceeds a breakdown voltage. In a specific embodiment, the breakdown voltage is less than a breakdown voltage for a logic gate oxide.
    Type: Grant
    Filed: August 29, 2005
    Date of Patent: September 4, 2007
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: Talee Yu, Chi Kang Liu
  • Patent number: 7264976
    Abstract: A method of manufacturing a plurality of microlenses on a substrate comprises forming a grid having raised ridges defining a plurality of openings on the substrate and forming a plurality of patterned photoresist features each disposed within one of the plurality of openings. The plurality of patterned photoresist features can then be reflowed inside the grid.
    Type: Grant
    Filed: February 23, 2005
    Date of Patent: September 4, 2007
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jack Deng, Chin Chen Kuo, Fu-Tien Weng, Chih-Kung Chang, Bii-Junq Chang