METHODS FOR REDUCING CONTAMINATION OF SEMICONDUCTOR SUBSTRATES

Methods for reducing contamination of semiconductor substrates after processing are provided. The methods include heating the processed substrate to remove adsorbed chemical species from the substrate surface by thermal desorption. Thermal desorption can be performed either in-situ or ex-situ. The substrate can be heated by convection, conduction, and/or radiant heating. The substrate can also be heated by treating the surface of the processed substrate with an inert plasma during which treatment ions in the plasma bombard the substrate surface raising the temperature thereof. Thermal desorption can also be performed ex-situ by applying thermal energy to the substrate during transport of the substrate from the processing chamber and/or by transporting the substrate to a transport module (e.g., a load lock) or to a second processing chamber for heating. Thermal desorption during transport can be enhanced by purging an inert gas over the substrate surface.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
FIELD OF THE INVENTION

[0001] The present invention relates generally to methods of reducing contamination of semiconductor substrates and, in particular, to in-situ and ex-situ methods of thermally desorbing adsorbed chemical species from the surfaces of semicondutor substrates after processing.

BACKGROUND OF THE INVENTION

[0002] Semiconductor substrates are typically subjected to various types of plasma processing such as etching and deposition during the manufacture of semiconductor devices. During plasma processing of semiconductor substrates, however, chemical species present in the reactor can become adsorbed onto the surface of the substrate. Upon removal of the substrate from the plasma chamber, these adsorbed chemical species can react with moisture in the air to form particles. These particles can lead to lower device yields or can reduce the long term reliability of semiconductor devices.

[0003] Various methods of removing contaminants from semiconductor substrates have been employed. For example, wet cleaning processes have been used in semiconductor device manufacture to remove contaminants from the substrate surface. However, as device dimensions shrink, it has become increasingly difficult to effectively clean submicron structures using liquid processes. Also, it is often difficult to remove residual moisture from the cleaning process. This moisture can be a potential source of post-manufacture corrosion. Further, wet cleaning involves an additional process step which can add to the cost of the process.

[0004] Surface contamination of the substrate surface can also be reduced by dry cleaning methods. Dry cleaning methods do not expose the surface of the substrate to moisture. Additionally, dry cleaning has the added advantage of allowing fewer transfers of the substrate between processes during manufacture and, consequently, fewer exposures of the substrate to air. Methods of dry cleaning semiconductor substrates are disclosed in U.S. Pat. Nos. 4,863,561; 4,906,328; 5,300,187; 5,332,444; 5,350,480; 5,403,434; 5,716,495; 5,821,175; 5,950,071; 5,963,833; 5,994,240; and 6,124,211.

[0005] Plasma processes are typically used to remove native oxides before deposition processes and to remove photoresists (e.g., photoresist stripping) after etching. Removal of contaminants by plasma processing, however, is also known. Gupta (U.S. Pat. No. 5,328,555), for example, discloses a process of removing particles from the surface of a substrate by forming a plasma from an inert plasma precursor gas. According to Gupta, the inert plasma lifts loosely adhered particles from the surface of the substrate. Gupta further discloses increasing the flow of the inert gas without increasing the pressure in the vacuum chamber, to “sweep” the particles beyond the surface of the substrate, where they can be removed by the vacuum chamber exhaust system. According to Gupta, particles having a size of about 0.1 micron or larger can be removed in this manner. Denning et al. (U.S. Pat. No. 6,187,682 B1) disclose a method for performing a cleaning operation in-situ along with a physical sputtering operation. The method of Denning et al. involves placing a substrate into a chamber and generating a plasma within the chamber using an inert, noble, or reducing gas. The gas is ionized to form ions which are accelerated towards the substrate to result in physical sputtering of contaminants and oxides from the substrate surface. Following this cleaning operation, power supplied within the chamber is altered so that the ions impact a sputter target to sputter material onto a clean surface of the substrate in an in-situ manner.

[0006] There still exists a need for a method of rapidly removing adsorbed chemical species from the surfaces of processed semiconductor substrates in situ before these chemical species can react with moisture to form particles.

[0007] In order to transfer a semiconductor substrate into and out of a processing chamber, it is conventional to utilize various robot arm and lift pin arrangements. See, for example, U.S. Pat. Nos. 4,431,473; 4,790,258; 4,842,683; 5,215,619; 5,314,538; and 5,622,400. The transfer of substrates into and out of a processing chamber can itself be a source of particle contamination. Further, as set forth above, any adsorbed chemical species remaining on the substrate surface after processing can react with moisture to form particles. It would therefore be desirable to remove adsorbed chemical species from a substrate surface during transfer of the substrate from a processing chamber. Such an ex-situ technique would allow for increased process efficiencies by utilizing the time in which the substrate is being transferred.

SUMMARY OF THE INVENTION

[0008] According to a first embodiment of the invention, a method of reducing surface contamination of a semiconductor substrate is provided. The method includes mounting the substrate on a substrate support in a first processing chamber, processing the substrate, and heating the substrate. As a result of processing, chemical species in the reactor are adsorbed onto the substrate surface. During the heating step, the temperature of the substrate is increased such that adsorbed chemical species are removed from the substrate surface by thermal desorption. Heating can be performed in-situ or ex-situ. The substrate can be heated by conduction, convection, radiant heating and/or, in a preferred embodiment, by treating the substrate with an inert plasma. The method can also include a step of transporting the substrate out of the plasma reactor using a substrate transport mechanism wherein, during the transport step, thermal energy is applied to the substrate and an inert gas is purged over the surface of the substrate. Thermal energy can be applied to the substrate via conduction from a heated transport mechanism, via convection from a radiant heater mounted on the substrate transport mechanism or via forced convection by heating the inert gas and purging the heated inert gas over the substrate surface to heat the substrate.

[0009] According to another embodiment of the invention, the method further comprises steps of supplying a heat transfer gas between the substrate and substrate support during the processing step and shutting off the supply of heat transfer gas prior to the heating step. In a further preferred embodiment, a lifter mechanism lifts the substrate off of the substrate support prior to or during the heating step and heating is performed by radiant heating, convection heating, treating the substrate with an inert plasma or combinations thereof.

[0010] According to a further embodiment of the invention, a substrate transport mechanism is provided. The substrate transport mechanism includes an arm, a substrate support surface adapted to support a semiconductor substrate during transport, one or more heaters adapted to heat a semiconductor substrate supported on the support surface, and a gas supply adapted to purge an inert gas over the surface of a semiconductor substrate supported on the support surface. The heaters may be resistance heaters or radiant heaters. Alternatively, the inert gas supply can be adapted to purge a heated inert gas over the substrate to heat the substrate by forced convection.

BRIEF DESCRIPTION OF THE DRAWINGS

[0011] FIG. 1 is a schematic of a prior art plasma reactor suitable for use with the present invention;

[0012] FIG. 2 shows a perspective view of a substrate transport mechanism according to the invention and a lift pin arrangement; and

[0013] FIG. 3 shows a cross section of a semiconductor processing apparatus including the substrate transport mechanism of FIG. 2.

DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS

[0014] As set forth above, chemical species adsorbed onto semiconductor substrate surfaces as a result of etching or other processing can react with moisture to form particle contaminants. These contaminants, if they remain on the surface of the substrate, can cause defects in a semiconductor device manufactured from the substrate thus resulting in decreased yields and/or reduced device life. Alternatively, these contaminants can be transferred from the processed substrate to other substrates causing defects in the subsequent processing of those other substrates. For example, if a freshly etched substrate containing surface contaminants comes into contact with unetched substrates in a closed environment such as a load lock, FOUP or cassette, the adsorbed contaminants can be transferred to the surfaces of the other substrates. This cross-contamination can occur through a time-dependent surface adsorption/desorption mechanism. Contaminants adsorbed on an unetched substrate surface can have a detrimental effect on substrate integrity if not removed prior to further processing. If the substrates are being etched, for example, the transferred contaminants can lead to micro-masking defects during subsequent etching processes.

[0015] Adsorbed chemical species are typically bonded to the substrate surface by very weak chemical forces (e.g., Van Der Waals forces). In the present invention, these adsorbed chemical species can be reduced or eliminated from the substrate surface by thermal desorption of the chemical species either in-situ, ex-situ or by using a combination of in-situ and ex-situ thermal desorption.

[0016] In a first embodiment of the invention, thermal desorption can be done in-situ by heating the substrate. In this manner, the temperature of the substrate and, consequently, the surface mobility of the adsorbed chemical species can be increased. As the substrate temperature increases, thermal agitation of the adsorbed species will also increase, eventually overcoming the relatively weak forces bonding the adsorbed species to the substrate. In the present invention, the substrate can be heated by any method or combination of methods including, but not limited to, conduction, convention and radiant heating. In a preferred embodiment of the invention, however, the substrate is heated by treatment with an inert plasma.

[0017] Since adsorbed chemical species present on the substrate surface are removed by thermal desorption, chemical reactions of the adsorbed material with species in the plasma are not necessary or even desirable. It is further preferred that ions in the inert plasma bombard the substrate surface during treatment with insufficient energy to achieve sputtering of the surface. In this manner, damage to the substrate can be minimized. Thus, in the present invention, ion bombardment will preferably only cause the temperature of the substrate to increase without resulting in physical sputtering of the surface. If thermal desorption occurs in the gas phase, the desorbed chemical species can be pumped away from the substrate. By applying this process after plasma processing but before the substrate is transported from the processing chamber, the concentration of adsorbed chemical species on the substrate surface can be reduced or eliminated.

[0018] In the present invention, the characteristics of the inert plasma (e.g., ion energy) and the exposure time can be adjusted depending upon the adsorbents present on the substrate surface. Generally, at higher temperatures desorption will occur more rapidly. However, higher temperatures may result in damage to the substrate. This is particularly true if an organic material such as a photoresist is present.

[0019] In a second embodiment of the invention, thermal desorption can be performed ex-situ. Ex-situ thermal desorption can, for example, be accomplished by applying thermal energy to the substrate during transport or removal of the substrate from the reaction chamber. Thermal desorption can also be performed ex-situ in a transport module (e.g., a load lock) or in a separate processing chamber using any of the aforementioned methods of heating the substrate (e.g., conduction, convection, radiant heating and/or treating with an inert plasma). In a preferred embodiment, thermal desorption is performed prior to the substrate being placed in close proximity to other substrates. In this manner, cross-contamination can be minimized.

[0020] In a preferred embodiment of the present invention, thermal energy can be applied to the substrate ex-situ by using a heated substrate transport mechanism such as a robotic arm to remove the substrate from the process chamber. In a further preferred embodiment, an inert gas is purged over the surface of the substrate during transport and while the substrate is being heated. In this manner, thermal desorption of chemical species present on the substrate surface can be further enhanced.

[0021] If the substrate has a photoresist layer, any of the aforementioned thermal desorption methods, alone or in combination, can be employed prior to a photoresist stripping process. Although the stripping process will generally remove the adsorbed materials from the substrate surface, it may still be desirable to thermally desorb adsorbed materials from the substrate surface prior to the photoresist stripping process. In this manner, cross-contamination of other substrates can be minimized. When treating a semiconductor substrate having a photoresist layer with an inert plasma, the temperature of the photoresist will preferably be maintained below temperatures at which the photoresist can reticulate or burn. This temperature will vary depending upon the material from which the photoresist is made.

[0022] In order to illustrate the invention, a polysilicon etch will be used as an example. The present invention, however, can be employed with any process (e.g., plasma etching, CVD, PECVD, etc.) wherein undesirable chemical species are adsorbed onto the surfaces of the substrate during processing.

[0023] FIG. 1 illustrates a schematic diagram of a low pressure, high conductance plasma etch system known as the TCP™ 9400, which is available from Lam Research Corporation of Fremont, Calif. Although the TCP™ 9400 is chosen to simplify the discussion herein, it should be borne in mind that the invention is not limited to low pressure, high conductance systems, and may in fact apply to any plasma processing system, including those designed for etching, deposition, etc.

[0024] Referring to FIG. 1, a plasma processing system 100 is shown. The plasma processing system includes a plasma processing chamber 102. Plasma processing chamber 102 may have its interior walls formed of any suitable material, e.g., anodized aluminum. Above chamber 102, there is disposed an RF antenna which, in FIG. 1, is shown implemented by a transformer coupled plasma (TCP™) coil. Other RF energy sources may also be used, however, such as magnetron, helicon, capacitively coupled, inductively coupled, ECR, etc. Coil 104 is energized by a radio frequency (RF) system 106 via an appropriately designed matching network (not shown). To provide an electrical path to ground, the walls of processing chamber 102 are typically grounded.

[0025] Within processing chamber 102, there is provided a gas injection ring 108, which, in the present example, represents a ring-shaped manifold having thereon a plurality of gas outlets 130 for releasing gaseous source materials, e.g., the etchant source gases, into the interior of chamber 102. The gaseous source materials may also be released from ports built into the walls of the chamber itself or from an appropriately designed shower head apparatus, if desired.

[0026] Substrate 110 is introduced into processing chamber 102 and disposed on a substrate support 112, which acts as a second electrode and is preferably biased by an RF system 114 (also typically via a matching network). The substrate support 112 will typically include a chuck 128, usually an electrostatic (ESC) chuck, which may be of a monopolar or a bipolar design. The substrate support may, however, include a mechanical chuck or any other suitable support structure for substrate 110. A heat transfer gas such as helium may be introduced under pressure (e.g., about 5-10 Torr in one embodiment) between substrate support 112 and substrate 110 to act as a heat transfer medium for accurately controlling the substrate's temperature during processing, e.g., to ensure uniform and repeatable etching results. The substrate temperature is typically maintained at a level below about 60° C., usually from 50 to 60° C., during etching. Chuck 128 may also be provided with openings for lift pins 124. Lift pins 124 are shown as part of lift pin assembly 126. By raising or lowering lift pin assembly 126, substrate 110 can be raised or lowered from the surface chuck 128. Lift pin assembly 126 can be used to elevate the substrate off of chuck 128 to facilitate removal of substrate 110 from processing chamber 102 by a substrate transport mechanism (not shown). As shown in FIG. 1, the pressure within processing chamber 102 can be controlled by exhausting gas through exhaust port 116, which is coupled to vacuum pump 118.

[0027] In order to monitor the substrate during processing and/or thermal desorption, chamber 102 can include window 122. Window 122 allows light, indicated by arrow 132, that is produced by the reactions within chamber 102 to be detected by an optical sensor 134 outside of the chamber. Optical sensor 134 measures the intensity of light 132 emitted through window 122 during the etching process. Optical sensor 134 can produce an electrical signal indicated by arrow 136 which represents the intensity of light 132. A computer 138 can then use a detection algorithm and electrical signal 136 to determine the endpoint for the processing and/or thermal desorption steps. Computer 138 can then generate an endpoint signal indicated by arrow 140 which is sent to a chamber controller 142. Controller 142 can then use endpoint signal 140 to stop the processing and/or heating of the substrate.

[0028] Chamber 102 can further contain within its interior other conventional parts and structures, which are omitted from FIG. 1 to simplify the illustration.

[0029] RF power is supplied to the coil 104 and inductively coupled to the plasma within processing chamber 102 through dielectric window 120, which may be made of quartz or another suitable dielectric material. When RF system 106 is turned on, the RF power from the coil 104 energizes the injected etchant source gases into a plasma state such that reactive plasma species are present within chamber 102. RF system 114 may also be turned on to provide RF power to chuck 112 and to bias substrate 110. The reactive plasma species can then react with the surface of substrate 110 to etch away portions of substrate 110 unprotected by a protective mask (e.g., a hard mask or a photoresist mask), thereby forming the desired features on the substrate surface. Most of the byproducts, which are generated through the reaction between the plasma species themselves, between the plasma species and the substrate films and/or between the plasma species and the protective overlying layer (e.g., photoresist) are then exhausted through exhaust port 116.

[0030] At the end of the etch sequence, various etch byproducts, particularly the less volatile byproducts, may remain within plasma processing chamber 102 and become chemically adsorbed on the surfaces of the substrate. Typical etch chemistries used in etching semiconductor substrates include halogens such as Cl, F and Br. In an etch process, the chemical species adsorbed onto the substrate surface will typically include a halogen. In a deposition process, the adsorbed species can include chemical species present in the reactant gas itself (e.g., TEOS).

[0031] Upon removal from the plasma chamber, these adsorbed species can cause defects or otherwise damage the substrate. For example, adsorbed chemical species comprising halogens can form acid gases which can corrode the substrate. These adsorbed species can also react with moisture in the air to form chemical condensates (e.g., particles) which can cause defects in later processing. In a polysilicon etch, the chemical condensates formed on the substrate typically contain some combination of Si, O and halogens.

[0032] In a preferred embodiment of the invention, the substrate includes a gate conductor layer (typically polysilicon) disposed over a gate dielectric layer (typically SiO2) and the etching step involves etching through the gate conductor layer to expose at least a portion of the gate dielectric layer.

[0033] According to a first embodiment of the invention, the etched or otherwise plasma processed substrate 110 is then heated in-situ in processing chamber 102. The substrate can be heated in-situ by conduction, convection and/or radiant heating. For example, the substrate can be heated via thermal conduction by heaters (not shown) mounted in substrate support 112 or chuck 128. Alternatively, the substrate can be heated using radiant heaters (not shown). When radiant heating is employed, the heaters can be mounted either inside or outside of processing chamber 102. The substrate can also be heated by convection. For example, a heated inert gas (e.g., Ar, He, etc.) can be injected into processing chamber 102 and directed at the substrate surface. In a preferred embodiment, however, the substrate is heated in-situ by treatment with an inert plasma.

[0034] Before treating the substrate with an inert plasma, processing chamber 102 is typically purged to remove any remaining processing gases and/or volatile etch byproducts. Processing chamber 102 is then supplied with the inert gas. In a preferred embodiment, the inert gas is He or Ar. Other inert gases (e.g., Ne, Xe, Kr, etc.) or combinations of inert gases, however, can also be used. The type of inert gas employed can influence the energy of the ions bombarding the substrate. At a constant ion velocity, the energy of an ion will increase with its mass. Thus lighter He atoms will possess less energy at the same velocity than heavier species such as Ar. When delicate structures are present on the substrate (e.g., exposed gate oxide layers), an atom having a lower mass (e.g., He) can be used to reduce sputtering and potential damage to the substrate. Also, higher plasma densities can be used with inert gases of lighter atoms such as He while still minimizing sputtering of the substrate surface.

[0035] After the inert gas is supplied to processing chamber 102, power is then applied to RF coil 104 to energize a plasma from the injected inert gas, thereby producing plasma species within chamber 102. RF system 114 may also be turned on to bias substrate 110 during treatment with the inert plasma.

[0036] When the plasma is energized, electrons are accelerated by the applied RF field and collide inelastically with the inert gas molecules to produce a complex mixture of reactive species including ions, atoms, and radicals. During plasma processing, an electron-free space-charge region designated as a “sheath” forms between the plasma and contacting solid surfaces including the substrate surface. Ions in the plasma are accelerated toward the surface when entering this plasma sheath. The characteristics of the plasma sheath can thus have an influence over incident ion fluxes and their energies and, consequently, can influence the characteristics and consequences (e.g., sputtering of the substrate surface vs. bombardment without sputtering) of plasma-surface interactions.

[0037] In the present invention, during treatment of the substrate with the inert plasma, the energy gained by the ions as they are accelerated through the plasma sheath between the substrate and the plasma is preferably 100 volts or less, more preferably 50 volts or less. It is believed that sputtering begins to occur at ion energies of approximately 50 volts. By maintaining the energy gained by the ions as they are accelerated through the plasma sheath between the substrate and the plasma to a level of 100 volts or less, sputtering of the substrate surface can be minimized.

[0038] Treatment of the substrate with the inert plasma will preferably be conducted for less than 20 seconds, more preferably less than 12 seconds. Shorter times result in greater process efficiency. However, treatment of the substrate with the inert plasma can also be conducted for longer times. Longer process times may be necessary where delicate structures subject to damage are present on the substrate. Photoresist layers, for example, often comprise organic polymers which can reticulate (burn) at elevated temperatures. The presence of these structures on the substrate may therefore limit substrate temperatures which can be achieved during treatment with the inert plasma. During in-situ treatment with the inert plasma, the substrate will preferably reach a temperature of at least 80° C. Significantly higher temperatures (e.g., as high as 300° C.) can also be realized. Higher temperatures, will generally allow for shorter treatment times but may result in damage to the substrate.

[0039] In a preferred embodiment of the invention, the heat transfer gas which is used to control the temperature of substrate 110 during processing is turned off prior to treating substrate 110 with the inert plasma. In this manner, heat transfer from the substrate to the substrate support can be reduced. In a further preferred embodiment, the substrate 110 can be lifted off of the substrate support 112 (e.g., by raising lifter 126) prior to or during heating in order to more effectively thermally isolate the substrate from the substrate support. In this manner, the temperature of the substrate can be increased more rapidly when thermal energy is applied thereto by convection, radiant heating or treatment with an inert plasma. If heating the substrate via conduction from a heated substrate support or chuck, efficient heat transfer from the substrate support to the substrate would be desirable.

[0040] According to a second embodiment of the invention, thermal desorption can be performed ex-situ. Ex-situ thermal desorption can, for example, be performed with a heated substrate transport mechanism such as a robotic arm. FIG. 2 shows a substrate 202 supported on a robotic arm 204. A lift pin mechanism 208 having four lift pins 206 is shown below the substrate 202. The robotic arm 204 has openings (slits are shown) to allow the arm to slide into position under the substrate when the substrate is raised using lift pins 206. In the present invention, the robotic arm 204 can be provided with one or more heaters 210 to transfer thermal energy to the substrate 202 during transport. As shown in FIG. 2, heater 210 can be a resistance heater embedded or otherwise mounted in the robotic arm 204. Other types of heaters may also be employed, however. Further, the gas supplied to the substrate may itself be heated. In this manner, the substrate can be heated via forced convection. The inert purge gas may, for example, be heated by heaters incorporated into the purge gas supply (not shown). By heating the substrate during transfer, thermal desorption of chemical species adsorbed on the substrate surface can be realized during substrate transport.

[0041] The substrate may also be purged with an inert gas during transport from processing chamber 102. In FIG. 2, inert gas 212 is shown being supplied through conduit 214 that is external to robotic arm 204. The inert gas 212 could also be supplied, however, by an internal conduit (not shown) running along the length of the robotic arm or by a remote gas supply. By heating the substrate 202 and purging inert gas 212 over the substrate surface during transport, chemical species present on the substrate surface can be effectively reduced or eliminated via thermal desorption.

[0042] Heating ex-situ can also be performed in a separate processing chamber or in a transport module such as a load lock. For example, the substrate can be processed in a first processing chamber and then transported into a second processing chamber wherein the substrate can be heated to thermally desorb adsorbed chemical species. The substrate can be heated in the second processing chamber by any of the aforementioned methods including, but not limited to, conduction, convection and/or radiant heating. Further, if the second processing chamber is a plasma chamber, the substrate can be heated by treating the substrate with an inert plasma. Further, if the substrate is transported out of the first processing chamber and into a transport module such as a load lock, heating can be conducted in the transport module using any of the aforementioned heating means including, but not limited to, conduction, convection, and/or radiant heating. Further, if the transport module is provided with a plasma generating capability, the substrate can be treated with an inert plasma in the transport module. In this embodiment, the transport module can itself be considered a second processing chamber.

[0043] After removal from the processing chamber, the substrate 202 can be transported to another apparatus for further processing. For example, if the substrate has a photoresist layer, the substrate can be transported to a photoresist stripping apparatus for removal of the photoresist.

[0044] FIG. 3 shows the robotic arm of FIG. 2 as part of a semiconductor processing apparatus 300 including an entrance load lock 302, a substrate processing chamber 304 and an exit load lock 306. According to the invention, a semiconductor process using the apparatus of FIG. 3 can include steps of: (1) moving a first robotic arm 308 supporting a substrate 202 to be processed such that substrate 202 is transferred to a process position in a processing chamber 304; (2) raising a lifter (not shown) having a plurality of lift pins 206 to an upper position at which the substrate 202 is supported on upper ends of lift pins 206; (3) retracting the first robot arm 308 away from substrate 202; (4) lowering the lifter to a lower position at which the substrate 202 is supported on a substrate holder (not shown) in substrate processing chamber 304; (5) clamping substrate 202 to the substrate holder, (6) supplying a heat transfer gas between substrate 202 and the substrate support; (7) processing substrate 202 with a plasma, wherein, during processing, chemical species present in the reactor are adsorbed onto the substrate surface; (8) unclamping substrate 202, (9) raising the lifter to an upper position, (10) optionally heating substrate 202 to raise the temperature of the substrate and to thereby thermally desorb adsorbed chemical species from the substrate surface, (11) transporting substrate 202 out of the substrate processing chamber 304 and into exit load lock 306 with a second robotic arm 310, and (12) removing substrate 202 from exit load lock 306.

[0045] Thermal energy can be applied to substrate 202 while an inert gas is purged over the surface of substrate 202 during transfer of substrate 202 out of processing chamber 304 and/or out of exit load lock 306. Thermal energy can be applied to the substrate during transport by purging a heated inert gas over the surface of the substrate or by conduction from a heated substrate transport mechanism. Substrate 202 can also be heated inside exit load lock 306 or, alternatively, substrate 202 can be transported to a second processing chamber (not shown) for heating. Heating in the exit load lock or in the second processing chamber can be conducted by any of the aforementioned heating methods. Further, if the second processing chamber is a plasma chamber, the substrate can be heated by treatment with an inert plasma.

[0046] In the present invention, the endpoint of the thermal desorption process can be determined by optical emission or other techniques. In the optical emission technique, the emission from a particular chemical species (e.g., the species being thermally desorbed during the heating step) can be monitored at a specified wavelength. As the adsorbed chemical species is desorbed from the substrate surface, the emission level will decrease and level off to a low value when the adsorbed species is removed from the substrate surface. The concentration of the desorbed species can also be determined by analyzing the gas in the reactor during heating using a mass spectrometer or other gas analyzer (e.g., a residual gas analyzer).

[0047] The foregoing has described the principles, preferred embodiments and modes of operation of the present invention. However, the invention should not be construed as being limited to the particular embodiments discussed. Thus, the above-described embodiments should be regarded as illustrative rather than restrictive, and it should be appreciated that variations may be made in those embodiments by workers skilled in the art without departing from the scope of the present invention as defined by the following claims.

Claims

1. A method of reducing surface contamination of a semiconductor substrate comprising steps of:

mounting the substrate on a substrate support in a first processing chamber;
processing the substrate; and
heating the substrate;
wherein, as a result of processing, chemical species in the chamber are adsorbed onto the substrate surface and, wherein, during the heating step, the temperature of the substrate is increased such that adsorbed chemical species are removed from the substrate surface by thermal desorption.

2. The method of claim 1, wherein the heating step is performed in-situ or ex-situ.

3. The method of claim 1, wherein the heating step comprises treating the substrate with an inert plasma, convection heating, conduction heating, radiant heating or combinations thereof.

4. The method of claim 1, wherein the heating step comprises treating the substrate with an inert plasma in-situ in the first processing chamber.

5. The method of claim 4, further comprising a step of RF biasing the substrate during the treatment step.

6. The method of claim 1, further comprising steps of:

transporting the substrate after the processing step to a second processing chamber; and
mounting the substrate on a second substrate support in the second processing chamber;
wherein the heating step comprises heating the substrate in the second processing chamber.

7. The method of claim 6, wherein the heating step comprises treating the substrate with an inert plasma in the second processing chamber.

8. The method of claim 6, wherein the second processing chamber includes a lifter mechanism adapted to lift the substrate off of the second substrate support, the method further comprising raising the lifter mechanism to an upper position to lift the substrate off of the second substrate support prior to or during the heating step.

9. The method of claim 7, further comprising a step of RF biasing the substrate during the treatment step.

10. The method of claim 1, wherein the heating step comprises:

transporting the substrate out of the first processing chamber using a substrate transport mechanism; and
applying thermal energy to the substrate and purging an inert gas over the surface of the substrate during the transport step.

11. The method of claim 10, wherein the inert gas is heated and thermal energy is applied to the substrate by forced convection during the purging step.

12. The method of claim 10, wherein the substrate transport mechanism is heated and thermal energy is applied to the substrate by conduction from the substrate transport mechanism.

13. The method of claim 10, wherein radiant energy is applied to the substrate during the transport step.

14. The method of claim 1, wherein the substrate includes a photoresist layer and wherein the heating step removes adsorbed chemical species from the photoresist layer.

15. The method of claim 1, further comprising steps of:

supplying a heat transfer gas between the substrate and substrate support during the processing step; and
shutting off the supply of the heat transfer gas before the heating step;
wherein the heating step comprises treating the substrate with an inert plasma, convection heating, radiant heating or combinations thereof.

16. The method of claim 1, wherein the processing step comprises etching or deposition.

17. The method of claim 1, wherein the heating step comprises treating the substrate with an inert plasma and wherein a plasma sheath forms between the substrate and the plasma during the treating step and the average energy gained by ions in the plasma as they are accelerated through the plasma sheath is about 100 volts or less.

18. The method of claim 17, wherein the average energy gained by ions in the plasma as they are accelerated through the plasma sheath during the treating step is about 50 volts or less.

19. The method of claim 1, wherein the first processing chamber includes a lifter mechanism adapted to lift the substrate off of the substrate support, the method further comprising raising the lifter mechanism to an upper position to lift the substrate off of the substrate support prior to or during the heating step.

20. The method of claim 1, wherein the heating step comprises treating the substrate with an inert plasma and wherein the inert plasma does not sputter the substrate surface.

21. The method of claim 1, wherein the substrate includes a gate conductor layer disposed over a gate dielectric layer and the processing step comprises plasma etching through the gate electrode layer to expose at least a portion of the gate dielectric layer.

22. The method of claim 21, wherein the gate conductor layer is a polysilicon layer and the gate dielectric layer is an oxide layer, the processing step comprising etching through the polysilicon layer to expose at least a portion of the gate oxide layer.

23. The method of claim 1, wherein the heating step comprises treating the substrate with an inert plasma and wherein the treating step is carried out for 20 seconds or less.

24. The method of claim 23, wherein the treating step is carried out for 12 seconds or less.

25. The method of claim 1, wherein the heating step is performed until an endpoint determined by a device measuring the concentration of the desorbed species is reached.

26. The method of claim 1, wherein the adsorbed chemical species comprise one or more halogens.

27. The method of claim 1, wherein the heating step raises the temperature of the substrate to a temperature of from 80 to 300° C.

28. A semiconductor substrate transport mechanism comprising:

an arm;
a substrate support member having a support surface adapted to support the semiconductor substrate during transport;
one or more heaters adapted to apply thermal energy to the wafer supported on the support surface; and
a gas supply adapted to purge an inert gas over the surface of a substrate supported on the support surface.

29. The substrate transport mechanism of claim 28, wherein the one or more heaters are resistance heaters mounted in the substrate transport mechanism.

30. The substrate transport mechanism of claim 28, wherein the inert gas supply is adapted to purge a heated inert gas over the surface of a substrate supported on the support surface.

31. The substrate transport mechanism of claim 28, wherein the one or more heaters are radiant heaters mounted on the substrate transport mechanism.

Patent History
Publication number: 20040137741
Type: Application
Filed: Nov 18, 2002
Publication Date: Jul 15, 2004
Inventors: Robert Chebi (Fremont, CA), David Hemker (San Jose, CA)
Application Number: 10295912