Semiconductor device and manufacturing method thereof

In a method for manufacturing a semiconductor device, a fin structure including a first semiconductor layer, an oxide layer disposed over the first semiconductor layer and a second semiconductor layer disposed over the oxide layer is formed. An isolation insulating layer is formed so that the second semiconductor layer of the fin structure protrudes from the isolation insulating layer while the oxide layer and the first semiconductor layer are embedded in the isolation insulating layer. A third semiconductor layer is formed on the exposed second semiconductor layer so as to form a channel.

Skip to: Description  ·  Claims  ·  References Cited  · Patent History  ·  Patent History
Description
TECHNICAL FIELD

The disclosure relates to a semiconductor integrated circuit, more particularly to a semiconductor device having a fin structure and its manufacturing process.

BACKGROUND

As the semiconductor industry has progressed into nanometer technology process nodes in pursuit of higher device density, higher performance, and lower costs, challenges from both fabrication and design issues have resulted in the development of three-dimensional designs, such as a fin field effect transistor (Fin FET). Fin FET devices typically include semiconductor fins with high aspect ratios and in which channel and source/drain regions of semiconductor transistor devices are formed. A gate is formed over and along the sides of the fin structure (e.g., wrapping) utilizing the advantage of the increased surface area of the channel and source/drain regions to produce faster, more reliable and better-controlled semiconductor transistor devices. In some devices, strained materials in source/drain (S/D) portions of the FinFET utilizing, for example, silicon germanium (SiGe), silicon phosphide (SiP) or silicon carbide (SiC), may be used to enhance carrier mobility. Further, channel on oxide structures have been proposed to improve carrier mobility and to maintain a straight fin profile. In addition, strained materials in source/drain (S/D) portions of the Fin FET utilizing selectively grown silicon germanium (SiGe) may be used to enhance carrier mobility. For example, compressive stress applied to a channel of a PMOS device advantageously enhances hole mobility in the channel. Similarly, tensile stress applied to a channel of an NMOS device advantageously enhances electron mobility in the channel. However, there are challenges to implementation of such features and processes in complementary metal-oxide-semiconductor (CMOS) fabrication.

BRIEF DESCRIPTION OF THE DRAWINGS

The present disclosure is best understood from the following detailed description when read with the accompanying figures. It is emphasized that, in accordance with the standard practice in the industry, various features are not drawn to scale and are used for illustration purposes only. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.

FIG. 1 is an exemplary process flow chart of a semiconductor FET device according to one embodiment of the present disclosure.

FIGS. 2-8 are exemplary processes for manufacturing a semiconductor FET device according to one embodiment of the present disclosure.

DETAILED DESCRIPTION

It is to be understood that the following disclosure provides many different embodiments, or examples, for implementing different features of the invention. Specific embodiments or examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, dimensions of elements are not limited to the disclosed range or values, but may depend upon process conditions and/or desired properties of the device. Moreover, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed interposing the first and second features, such that the first and second features may not be in direct contact. Various features may be arbitrarily drawn in different scales for simplicity and clarity.

Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly. In addition, the term “made of” may mean either “comprising” or “consisting of.”

FIG. 1 is an exemplary flow chart for manufacturing a semiconductor Fin FET device according to one embodiment of the present disclosure. The flow chart illustrates only a relevant part of the entire manufacturing process. It is understood that additional operations may be provided before, during, and after the operations shown by FIG. 1, and some of the operations described below can be replaced or eliminated for additional embodiments of the method. The order of the operations/processes may be interchangeable.

In S101 of FIG. 1, stacked layers of semiconductor materials are formed over a substrate as shown in FIG. 2. The stacked layers of semiconductor materials are formed over a substrate 10 and include a first semiconductor layer 15, an intermediate semiconductor layer 20, and a second semiconductor layer 30.

The substrate 10 is, for example, a p-type silicon substrate with an impurity concentration in a range of about 1×1015 cm−3 and about 3×1015 cm−3. In other embodiments, The substrate 10 is an n-type silicon substrate with an impurity concentration in a range of about 1×1015 cm−3 and about 3×1015 cm−3. The Si substrate 10 has a (100) upper surface in some embodiments.

Alternatively, the substrate 10 may comprise another elementary semiconductor, such as germanium; a compound semiconductor including IV-IV compound semiconductors such as SiC and SiGe, III-V compound semiconductors such as GaAs, GaP, GaN, InP, InAs, InSb, GaAsP, AlGaN, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP; or combinations thereof. In one embodiment, the substrate 10 is a silicon layer of an SOI (silicon-on-insulator) substrate. When an SOI substrate is used, the fin structure may protrude from the silicon layer of the SOI substrate or may protrude from the insulator layer of the SOI substrate. In the latter case, the silicon layer of the SOI substrate is used to form the fin structure. Amorphous substrates, such as amorphous Si or amorphous SiC, or insulating material, such as silicon oxide may also be used as the substrate 10. The substrate 10 may include various regions that have been suitably doped with impurities (e.g., p-type or n-type conductivity).

The first semiconductor layer 15 may be part of the substrate implanted with impurities. The ion implantation is performed to prevent a punch-through effect. The dopants are, for example boron (BF2) for an n-type Fin FET and phosphorus for a p-type Fin FET. The first semiconductor layer 15 becomes a well layer of a Fin FET.

In some embodiments, the first semiconductor layer 15 may be epitaxially grown over the substrate 10. The epitaxial layer 15 may be doped by in-situ doping and/or ion implantation.

The intermediate semiconductor layer 20 is epitaxially grown over the surface of the first semiconductor layer 15, and a second semiconductor layer 30 is epitaxially grown over the intermediate semiconductor layer. Further, a mask layer 100, including a first mask layer 102 and a second mask layer 104, is formed over the second semiconductor layer 30.

The intermediate semiconductor layer 20 is, for example, Ge or Si(1-x)Gex, where x is in a range of about 0.1 to about 0.9. In this embodiment, Si(1-x)Gex, is used as the intermediate semiconductor layer 20. In the present disclosure, Si(1-x)Gex may be simply referred to as SiGe. The thickness of the SiGe layer 20 is in a range of about 10 nm to about 100 nm in some embodiments. In certain embodiments, the thickness of the SiGe layer 20 is in a range of about 1 nm to about 20 nm, or in a range of about 2 nm to 10 nm in other embodiments.

The epitaxial growth of the SiGe layer may be performed by using SiH4 and/or SiH2Cl2 and GeH4 as source gases at a temperature in a range of about 500 C to 700 C and at a pressure in a range of about 10 to 100 Torr (about 133 Pa to about 1333 Pa).

The second semiconductor layer 30 is, for example, Si or Si(1-y)Gey, where y<x. The second semiconductor layer is Si in this embodiment. The Si second semiconductor layer 30 has a thickness in a range of about 20 nm to about 200 nm in some embodiments. In certain embodiments, the thickness of the Si second semiconductor layer 30 is in a range of about 50 nm to about 100 nm. The epitaxial growth of the Si layer may be performed by using SiH4 and/or SiH2Cl2 as source gases at a temperature in a range of about 500 C to 700 C and at a pressure in a range of about 10 to 100 Ton (about 133 Pa to about 1333 Pa).

The mask layer 100 may include, for example, a pad oxide (e.g., silicon oxide) layer 102 as a first mask layer and a silicon nitride (SiN) mask layer 104 as a second mask layer. The thickness of the pad oxide layer 102 is in a range of about 2 nm to about 15 nm and the thickness of the silicon nitride mask layer 104 is in a range of about 10 nm to about 50 nm in some embodiments.

In S102 of FIG. 1, the stacked layers of semiconductor materials with the mask layer are patterned into fin structures 40 extending in the X direction, as shown in FIG. 3.

By using patterning operations, the mask layer 100 is patterned into mask patterns. The width of each of the patterns is in a range of about 5 nm to about 40 nm in some embodiments, or may be in a range of about 10 nm to about 30 nm in other embodiments.

As shown in FIG. 3, by using the mask patterns as etching masks, the second semiconductor layer 30, the intermediate semiconductor layer 20 and the first semiconductor layer 15 are pattered into fin structures 40 by trench etching using a dry etching method and/or a wet etching method. In some embodiments, part of the substrate 10 may also be etched.

In FIG. 3, two fin structures 40 are disposed adjacent to each other. However, the number of the fin structures is not limited to two. The numbers may be one, three, four or five or more. In addition, one or more dummy fin structures may be disposed adjacent to both sides of the fin structures 40 to improve pattern fidelity in patterning processes. The width (in the Y direction) of the fin structure 40 is in a range of about 5 nm to about 40 nm in some embodiments, and may be in a range of about 7 nm to about 15 nm in certain embodiments. The height in the Z direction of the fin structure 40 is in a range of about 100 nm to about 300 nm in some embodiments, and may be in a range of about 50 nm to 100 nm in other embodiments. The space between the fin structures 40 is in a range of about 5 nm to about 80 nm in some embodiments, and may be in a range of about 7 nm to 15 nm in other embodiments. One skilled in the art will realize, however, that the dimensions and values recited throughout the descriptions are merely examples, and may be changed to suit different scales of integrated circuits. Further, in some embodiment, at least one of the fin structures 40 is for an n-type Fin FET and at least one of the fin structures 40 is for a p-type Fin FET.

It is noted that in one embodiment of the present disclosure, the width of fin structure 40 may be set smaller than a target channel width.

In S103 of FIG. 1, the intermediate semiconductor layers 20 in the fin structures 40 are oxidized. In one embodiment of the present disclosure, the intermediate semiconductor 20 is SiGe and the first and intermediate semiconductor layers are Si, and the SiGe layer 20 is oxidized to form SiGe oxide layers 25, as shown in FIG. 4.

Since SiGe (in particular Ge) is oxidized faster than Si, the SiGe oxide layers 25 can be selectively formed. However, side walls of the Si first semiconductor layers 15 and the Si second semiconductor layers 30 may also be slightly oxidized to form silicon oxide layers 17 and 32.

The SiGe layer can be oxidized by an annealing or heating in an atmosphere containing oxygen (O2), O2 and hydrogen (H2) or steam (H2O). In this embodiment, wet oxidation using steam is performed at a temperature range of about 400° C. to about 800° C. for about one hour to four hours, at about atmospheric pressure. The thickness of the SiGe oxide layers 25 is in a range of about 5 nm to 25 nm in some embodiments, or about 10 nm to 20 nm in other embodiments. By this oxidation operation, the intermediate semiconductor layers 20 (SiGe) are fully oxidized.

In some embodiments of the present disclosure, the silicon oxide layers 17, 32 and part of the SiGe oxide layer 25 may optionally be removed, by using, for example, wet etching. The etchant of the wet etching may be dilute HF. By adjusting the etching conditions (e.g., etching time), the silicon oxide layers 17, 32 formed on the side walls of the first and third Si layers may be removed. The SiGe oxide layer 25 is also slightly etched.

In S104 of FIG. 1, an isolation insulating layer 50 is formed over the substrate 10 and the fin structures 40, as shown in FIG. 5. The isolation insulating layer 50 includes one or more layers of insulating materials such as silicon oxide, silicon oxynitride or silicon nitride, formed by LPCVD (low pressure chemical vapor deposition), plasma-CVD or flowable CVD. In the flowable CVD, flowable dielectric materials instead of silicon oxide are deposited. Flowable dielectric materials, as their name suggest, can “flow” during deposition to fill gaps or spaces with a high aspect ratio. Usually, various chemistries are added to silicon-containing precursors to allow the deposited film to flow. In some embodiments, nitrogen hydride bonds are added. Examples of flowable dielectric precursors, particularly flowable silicon oxide precursors, include a silicate, a siloxane, a methyl silsesquioxane (MSQ), a hydrogen silsesquioxane (HSQ), an MSQ/HSQ, a perhydrosilazane (TCPS), a perhydro-polysilazane (PSZ), a tetraethyl orthosilicate (TEOS), or a silyl-amine, such as trisilylamine (TSA). These flowable silicon oxide materials are formed in a multiple-operation process. After the flowable film is deposited, it is cured and then annealed to remove un-desired element(s) to form silicon oxide. When the un-desired element(s) is removed, the flowable film densifies and shrinks. In some embodiments, multiple anneal processes are conducted. The flowable film is cured and annealed more than once. The flowable film may be doped with boron and/or phosphorous. The isolation insulating layer 50 may be formed by one or more layers of SOG, SiO, SiON, SiOCN and/or fluoride-doped silicate glass (FSG) in some embodiments.

After forming the isolation insulating layer 50, a thermal process, for example, an anneal process, may be performed to improve the quality of the isolation insulating layer 50. The thermal process may be performed before or after the planarization operations.

In S105 of FIG. 1, the thickness of the isolation insulating layer 50 is reduced by, for example, a planarization process including a chemical mechanical polishing (CMP) method and/or an etch-back process, so as to expose a part of the fin structures 40, as shown in FIG. 6. During the planarization operations, the mask patterns 100 and a top portion of the isolation insulating layer 50 are removed. Further, by an etch-back process, the thickness of the isolation insulating layer 50 is reduced.

A portion of the fin structure including the exposed part 42 of the fin structure 40 becomes a channel of the Fin FET and a portion of the fin structure the embedded in the isolation insulating layer becomes a well layer 44 of the Fin FET. The etch-back operations may be performed by using dry etching or wet etching. By adjusting etching time, a desired thickness of the remaining isolation insulating layer 50 can be obtained.

In FIG. 6, the SiGe oxide layer 25 is not exposed from the isolation insulating layer 50, and the bottom of the channel layer 42 is embedded in the isolation insulating layer 50. However, in some embodiments, the SiGe oxide layer 25 and the entire channel layer 42 may be exposed from the isolation insulating layer 50.

In S106 of FIG. 1, a third semiconductor layer 45 is formed over the channel layer 42 to adjust the width of the channel of the Fin FET (in the Y direction), as shown in FIG. 7.

As set forth above, the side wall of the first and second semiconductor layers (Si) 15, 30 are oxidized during the oxidization of SiGe layer 20. To fully oxidize the SiGe layer 20, the thickness of the silicon oxide layers formed over the first and second semiconductor layers (Si) 15, 30 tends to become thick, which in turn reduces the width of the fin structure. In particular, the width of the upper portion of the fin structure to be a channel would be reduced.

However, by forming the third semiconductor layer 45 over the channel layer 42, the width of the channel of the Fin FET can be recovered and a desired channel width can be obtained. As shown in FIG. 7, the width of the channel of the Fin FET with the third semiconductor layer 45 is generally greater than the width of the well layer 44.

The third semiconductor layers 45 are epitaxially formed on the channel layer 42 and include silicon when the channel layer 42 is silicon. In some embodiments, the third semiconductor layers 45 may include Si and Ge, and may also include additional material such as phosphorous and/or carbon. The third semiconductor layers 45 may be appropriately doped with dopants during the epitaxial growth or may not be doped.

The epitaxial growth of the Si third semiconductor layer 45 may be performed by using SiH4 and/or SiH2Cl2 as source gases at a temperature in a range of about 500 C to 700 C and at a pressure in a range of about 10 to 100 Torr (about 133 Pa to about 1333 Pa).

In the present embodiment, the third semiconductor layer 45 is made of silicon, and the channel of the Fin FET formed by the channel layer 42 and the third semiconductor layer 45 does not contain Ge. As set forth above, the SiGe layers 20 are fully oxidized and no SiGe semiconductor layer remains. Accordingly, during the epitaxial growth of the third semiconductor layer 45, no Ge is diffused from the SiGe layer. In some embodiments of the present disclosure, no signal corresponding to Ge is observed at a bottom of the channel layer 42 by EDX (Energy dispersive X-ray spectrometry), which shows that no Ge diffusion into the channel layer.

In S107 of FIG. 1, a gate structure 60 is formed over part of the channel layers 42 of the fin structures 40. The gate structure 60 extends in the Y direction and includes a gate dielectric layer 70 and an electrode layer 80. Although one gate structure 60 is formed for two fin structures in FIG. 8, two gate structures may be formed for two fin structures, respectively.

A gate dielectric material and an electrode material are formed over the isolation insulating layer 50 and the channel layer 42, and then patterning operations are performed so as to obtain gate structure including the gate electrode layer 80 and the gate dielectric layer 70. The gate electrode layer 80 is poly silicon in this embodiment. The patterning of the poly silicon layer is performed by using a hard mask including a silicon nitride layer and an oxide layer in some embodiments. The gate dielectric layer may be silicon oxide formed by CVD, PVD, ALD, e-beam evaporation, or other suitable process.

In one embodiment, a gate-last technology (a gate replacement technology) is employed. In the gate-last technology, the gate electrode layer 80 and the gate dielectric layer 70 formed in the foregoing operations are a dummy electrode layer and a dummy gate dielectric layer, respectively, which are eventually removed.

In some embodiments, the gate dielectric layer 70 may include one or more layers of silicon oxide, silicon nitride, silicon oxy-nitride, or high-k dielectric materials. High-k dielectric materials comprise metal oxides. Examples of metal oxides used for high-k dielectrics include oxides of Li, Be, Mg, Ca, Sr, Sc, Y, Zr, Hf, Al, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu, and/or mixtures thereof. In some embodiments, a thickness of the gate dielectric layer 70 is in the range of about 1 nm to 5 nm. In some embodiments, the gate dielectric layer 70 may include an interfacial layer made of silicon dioxide. In some embodiments, the gate electrode layer 80 may comprise a single layer or multilayer structure.

Further, the gate electrode layer 80 may be doped poly-silicon with uniform or non-uniform doping. In some alternative embodiments, the gate electrode layer 80 may include a metal such as Al, Cu, W, Ti, Ta, TiN, TiAl, TiAlN, TaN, NiSi, CoSi, other conductive materials with a work function compatible with the substrate material, or combinations thereof. The electrode layer for the gate electrode layer 80 may be formed using a suitable process such as ALD, CVD, PVD, plating, or combinations thereof. The width of the gate electrode layer 80 (in the X direction) is in the range of about 30 nm to about 60 nm in some embodiments.

It is understood that the Fin FET device may undergo further CMOS processes to form various features such as side wall insulating layers, source/drain structures, interlayer insulating layers, contacts/vias, interconnect metal layers, dielectric layers, passivation layers, etc.

The various embodiments or examples described herein offer several advantages over the existing art. In some embodiments of the present disclosure, after the channel layers are exposed from the isolation insulating layer, an epitaxial channel layer is formed to increase the width of the channel of the Fin FET, thereby obtaining a desired channel width.

With the formation of the epitaxial channel layer to recover the channel width, it is possible to fully oxidize the SiGe layers into SiGe oxide without taking care of the loss of the channel width during the SiGe layer oxidation, which make process windows for oxidizing the SiGe layer broader. Further, since it is possible to make the width of the fin structures (after etching) smaller, the time for fully oxidizing the SiGe layer can become shorter, thereby reducing thermal histories. In addition, the thickness of silicon oxide layers, which causes the loss of the channel width, can be minimized.

It will be understood that not all advantages have been necessarily discussed herein, no particular advantage is required for all embodiments or examples, and other embodiments or examples may offer different advantages.

In accordance with one aspect of the present disclosure, in a method for manufacturing a semiconductor device, a fin structure including a first semiconductor layer, an oxide layer disposed over the first semiconductor layer and a second semiconductor layer disposed over the oxide layer is formed. An isolation insulating layer is formed so that the second semiconductor layer of the fin structure protrudes from the isolation insulating layer and is exposed while the oxide layer and the first semiconductor layer are embedded in the isolation insulating layer. A third semiconductor layer is formed on the exposed second semiconductor layer so as to form a channel layer.

In accordance with another aspect of the present disclosure, in a method for manufacturing a semiconductor device, a stack of semiconductor layers is formed. The stack includes a first semiconductor layer, an intermediate semiconductor layer formed over the first semiconductor layer, and a second semiconductor layer formed over the intermediate semiconductor layer. A fin structure is formed, by patterning the first semiconductor layer, the intermediate semiconductor layer and the second semiconductor layer. The intermediate semiconductor layer in the fin structure is oxidized. An isolation insulating layer is formed so that the second semiconductor layer of the fin structure protrudes from the isolation insulating layer and is exposed while the oxidized intermediate layer and the first semiconductor layer are embedded in the isolation insulating layer. A third semiconductor layer is formed on the exposed second semiconductor layer so as to form a channel layer.

In accordance with another aspect of the present disclosure, a semiconductor device includes a Fin FET device. The Fin FET device includes a fin structure and a gate stack. The fin structure extends in a first direction and protrudes from an isolation insulating layer. The fin structure includes a well layer, an oxide layer disposed over the well layer and a channel layer disposed over the oxide layer. The gate stack includes a gate electrode layer and a gate dielectric layer, covering a portion of the channel layer and extends in a second direction perpendicular to the first direction. A width of the well layer in the second direction is smaller than a width of the channel layer in the second direction

The foregoing outlines features of several embodiments or examples so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments or examples introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims

1. A method for manufacturing a semiconductor device, comprising:

forming a fin structure including a first semiconductor layer, an oxide layer disposed over the first semiconductor layer and a second semiconductor layer disposed over the oxide layer;
forming an isolation insulating layer so that the second semiconductor layer of the fin structure protrudes from the isolation insulating layer and is exposed while the oxide layer and the first semiconductor layer are embedded in the isolation insulating layer; and
forming a third semiconductor layer to entirely cover the exposed second semiconductor layer so as to form a channel of the semiconductor device.

2. The method of claim 1, wherein the oxide layer includes SiGe oxide.

3. The method of claim 1, wherein the second semiconductor layer include silicon or a silicon compound.

4. The method of claim 3, wherein the third semiconductor layer includes silicon or a silicon compound and is epitaxially formed on the exposed second semiconductor layer.

5. The method of claim 1, wherein a width of the first semiconductor layer is smaller than a width of the channel.

6. A method for manufacturing a semiconductor device, comprising:

forming a stack of semiconductor layers including a first semiconductor layer, an intermediate semiconductor layer formed over the first semiconductor layer, and a second semiconductor layer formed over the intermediate semiconductor layer,
forming a fin structure, by patterning the first semiconductor layer, the intermediate semiconductor layer and the second semiconductor layer;
oxidizing the intermediate semiconductor layer in the fin structure;
forming an isolation insulating layer so that the second semiconductor layer of the fin structure protrudes from the isolation insulating layer and is exposed while the oxidized intermediate layer and the first semiconductor layer are embedded in the isolation insulating layer; and
forming a third semiconductor layer to entirely cover the exposed second semiconductor layer so as to form a channel of the semiconductor device.

7. The method of claim 6, wherein the intermediate semiconductor layer includes SiGe.

8. The method of claim 7, wherein in the oxidizing the intermediate semiconductor layer in the fin structure, the SiGe is fully oxidized.

9. The method of claim 6, wherein the second semiconductor layer is made of silicon or a silicon compound.

10. The method of claim 9, wherein the third semiconductor layer includes silicon or a silicon compound and is epitaxially formed on the exposed second semiconductor layer.

11. The method of claim 9, wherein in the oxidizing the intermediate semiconductor layer in the fin structure, side walls of the first and second semiconductor layers are oxidized to form silicon oxide.

12. The method of claim 11, further comprising removing the silicon oxide formed on the side walls of the first and second semiconductor layers.

13. The method of claim 6, wherein a width of the first semiconductor layer in the fin structure is smaller than a width of the channel.

14. The method of claim 6, wherein the forming the isolation insulating layer includes:

forming an insulating material over the fin structure so that the fin structure is fully embedded in the insulating material; and
removing part of the insulating material so that the second semiconductor layer of the fin structure protrudes from the isolation insulating layer.

15. The method of claim 6, wherein the oxidizing the intermediate semiconductor layer includes a thermal oxidization.

16. The method of claim 6, further comprising forming a gate structure over the channel.

17. A method for manufacturing a semiconductor device, comprising:

forming a stack of semiconductor layers including a first semiconductor layer, an intermediate semiconductor layer formed over the first semiconductor layer, and a second semiconductor layer formed over the intermediate semiconductor layer,
forming fin structures, by patterning the first semiconductor layer, the intermediate semiconductor layer and the second semiconductor layer, such that each of the fin structures including the first semiconductor layer, the intermediate semiconductor layer and the second semiconductor layer;
oxidizing the intermediate semiconductor layer in each of the fin structures;
forming an isolation insulating layer so that the second semiconductor layer of each of the fin structures protrudes from the isolation insulating layer and is exposed while the oxidized intermediate layer and the first semiconductor layer of each of the fin structures are embedded in the isolation insulating layer; and
forming a third semiconductor layer to entirely cover the exposed second semiconductor layer of each of the fin structures.

18. The method of claim 17, wherein in the oxidizing the intermediate semiconductor layer in the fin structure, side walls of the first and second semiconductor layers are oxidized to form silicon oxide layers.

19. The method of claim 18, wherein the silicon oxide layers are removed.

20. The method of claim 19, wherein when removing the silicon oxide layers, a part of the oxidized intermediate layer is removed.

Referenced Cited
U.S. Patent Documents
7425740 September 16, 2008 Liu et al.
8048723 November 1, 2011 Chang et al.
8053299 November 8, 2011 Xu
8183627 May 22, 2012 Currie
8415718 April 9, 2013 Xu
8497177 July 30, 2013 Chang et al.
8609518 December 17, 2013 Wann et al.
8618556 December 31, 2013 Wu et al.
8633516 January 21, 2014 Wu et al.
8703565 April 22, 2014 Chang et al.
8742509 June 3, 2014 Lee et al.
8776734 July 15, 2014 Roy et al.
8785285 July 22, 2014 Tsai et al.
8796666 August 5, 2014 Huang et al.
8815712 August 26, 2014 Wan et al.
20080224258 September 18, 2008 Schepis
20130285153 October 31, 2013 Lee et al.
20140183600 July 3, 2014 Huang et al.
20140264590 September 18, 2014 Yu et al.
20140264592 September 18, 2014 Oxland et al.
20150144998 May 28, 2015 Ching
20150372120 December 24, 2015 Ching
Patent History
Patent number: 9425313
Type: Grant
Filed: Jul 7, 2015
Date of Patent: Aug 23, 2016
Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. (Taipei)
Inventors: Chao-Hsuing Chen (Tainan), Hou-Yu Chen (Zhubei), Chie-Iuan Lin (Zhubei), Yuan-Shun Chao (Zhubei), Kuo Lung Li (Hsinchu)
Primary Examiner: Long K Tran
Application Number: 14/793,632
Classifications
Current U.S. Class: Air Isolation (e.g., Beam Lead Supported Semiconductor Islands) (257/522)
International Classification: H01L 29/78 (20060101); H01L 29/06 (20060101); H01L 29/66 (20060101); H01L 21/02 (20060101);