Running Length Work Patents (Class 118/718)
  • Patent number: 7749364
    Abstract: Methods and coaters for applying films onto a substrate (e.g., a large-area glass substrate) are disclosed. Certain embodiments involve a coater for applying thin films onto a sheet-like substrate. The coater in some embodiments has a transport system adapted for conveying the substrate along a path of substrate travel extending through the coater. The substrate transport system in certain embodiments includes an upward coating deposition gap. The coater preferably has a source of coating material adapted for delivering coating material upwardly through such gap and onto a bottom major surface of the substrate as the substrate is conveyed along a desired portion of the path of substrate travel, which portion of the path of substrate travel extends over the upward coating deposition gap.
    Type: Grant
    Filed: August 29, 2005
    Date of Patent: July 6, 2010
    Assignee: Cardinal CG Company
    Inventor: Klaus Hartig
  • Patent number: 7736438
    Abstract: A system and method for depositing ceramic materials, such as nitrides and oxides, including high temperature superconducting oxides on a tape substrate. The system includes a tape support assembly that comprises a rotatable drum. The rotatable drum supports at least one tape substrate axially disposed on the surface of the drum during the deposition of metals on the tape and subsequent oxidation to form the ceramic materials. The drum is located within a stator having a slot that is axially aligned with the drum. A space exists between the drum and stator. The space is filled with a predetermined partial pressure of a reactive gas. The drum, stator, and space are heated to a predetermined temperature. To form the ceramic material on the tape substrate, the drum is first rotated to align the tape substrate with the slot, and at least one metal is deposited on the substrate.
    Type: Grant
    Filed: May 31, 2006
    Date of Patent: June 15, 2010
    Assignee: Los Alamos National Security, LLC
    Inventors: Jonathan Storer, Vladimir Matias
  • Patent number: 7727590
    Abstract: A hot wire chemical vapor deposition apparatus comprises a vacuum chamber, a substrate support member located in the vacuum chamber, a filament assembly support member located in the vacuum chamber, a precursor gas inlet located in the vacuum chamber and a device for providing a clean portion of at least one filament inside the vacuum chamber without breaking vacuum.
    Type: Grant
    Filed: May 17, 2007
    Date of Patent: June 1, 2010
    Assignee: California Institute of Technology
    Inventors: Christine Richardson, Harry A. Atwater
  • Publication number: 20100107979
    Abstract: Provided is an apparatus for continuously fabricating superconducting tapes. An evaporation using drum in dual chamber (EDDC) method is suitable for mass production of high-temperature superconducting tapes. However, the EDDC method is limited to fabrication of high-temperature superconducting tapes having a limited length. In an attempt, high-temperature super-conducting tapes having a sufficiently large length can be fabricated using the EDDC method by releasing a long high-temperature superconducting tape from one reel and winding the long high-temperature superconducting tape around the other reel. In this case, it is important to stably move a high-temperature superconducting tape spirally wound around a drum from one reel to the other reel. Therefore, the provided apparatus uses endless tract belts separately disposed around a drum to stably and continuously move a high-temperature superconducting tape spirally wound around the drum along the centerline of the drum from one reel to the other reel.
    Type: Application
    Filed: March 7, 2008
    Publication date: May 6, 2010
    Applicants: Korea Advanced Institute of Science and Technology, SUNAM CO., LTD.
    Inventors: Do-Jun Youm, Ja-Eun Yoo, Byoung-Su Lee, Sang-Moo Lee, Ye-Hyun Jung, Jae-Young Lee
  • Patent number: 7705330
    Abstract: To provide an electron beam irradiation device capable of reducing quantity of inert gas consumed while maintaining oxygen concentration in an irradiation chamber in appropriate level. An electron beam irradiation device to irradiate an electron beam to an irradiated object passing through an irradiation chamber while introducing inert gas into the irradiation chamber comprising an oxygen concentration detection device to detect oxygen concentration in the irradiation chamber; a main controlling valve to regulate flow rate of inert gas introduced in the irradiation chamber; a control unit to control valve travel of the main controlling valve so that the flow rate of the inert gas decreases when the oxygen concentration becomes low on the basis of the oxygen concentration detected by the oxygen concentration detection device.
    Type: Grant
    Filed: March 22, 2006
    Date of Patent: April 27, 2010
    Assignee: Dai Nippon Printing Co., Ltd.
    Inventor: Seitaro Nakao
  • Patent number: 7699933
    Abstract: The invention relates to a method and a device which are used for the plasma treatment of work pieces. Said work piece is inserted into an at least partially evacuatable chamber of a treatment station (3) and the work piece is positioned inside the treatment station of retaining elements. At least one operating agent is at least partially impinged upon by a transporting device (44) which is displaced together with the treatment station on a closed and rotating transport path.
    Type: Grant
    Filed: May 9, 2003
    Date of Patent: April 20, 2010
    Assignee: KHS Corpoplast GmbH & Co. KG
    Inventors: Michael Lizenberg, Frank Lewis, Hartwig Müller, Klaus Vogel, Gregor Arnold, Stephan Behle, Andreas Lüttringhaus-Henkel, Matthias Bicker, Jürgen Klein
  • Publication number: 20100075036
    Abstract: A vapor deposition device 100 for moving a sheet-like substrate 4 in a roll-to-roll system in a chamber 2 to continuously form a vapor deposition film on the substrate 4. The vapor deposition device 100 comprises an evaporation source 9 for evaporating a vapor-depositing material; a transportation section including first and second rolls 3 and 8 for holding the substrate 4 in the state of being wound therearound and a guide section for guiding the substrate 4; and a shielding section, located in a vapor deposition possible zone, for forming a shielded zone which is not reachable by the vapor-depositing material from the evaporation source 9.
    Type: Application
    Filed: March 10, 2008
    Publication date: March 25, 2010
    Inventors: Sadayuki OKAZAKI, Kazuyoshi HONDA, Tomofumi YANAGI, Shoichi IMASHIKU
  • Patent number: 7677058
    Abstract: An apparatus and process for making glass soot sheet and sintered glass sheet. Glass soot particles are deposited on a curved deposition surface of a rotating drum to form a soot sheet. The soot sheet is then released from the deposition surface. The soot sheet can be sintered into a consolidated glass. The soot sheet and the sintered glass can be sufficiently long and flexible to be reeled into a roll.
    Type: Grant
    Filed: May 7, 2007
    Date of Patent: March 16, 2010
    Assignee: Corning Incorporated
    Inventors: Daniel Warren Hawtof, Michael Donovan Brady
  • Patent number: 7670433
    Abstract: The problem solved by this Invention is to provide a vacuum evaporation deposition method of the winding type and a vacuum evaporation deposition apparatus of the winding type which can form a metal film on a base film made of single layer plastic film without thermal deformation and with superior productivity. To solve the above problem, there are provided an electron beam irradiator 21 for irradiating an electron beam onto a film material 12 arranged between an unwinding roller 13 and a deposition source 16; an auxiliary roller 18 for guiding the film 12 in contact with the deposited metal layer and arranged between a can roller 14 and a winding roller 15; a DC bias power source 22 for applying a DC voltage between the auxiliary roller 18 and the can roller 14; electricity removing unit 23 for removing electricity from the film 12 and arranged between the can roller 14 and the winding roller 15.
    Type: Grant
    Filed: February 14, 2006
    Date of Patent: March 2, 2010
    Assignee: Ulvac, Inc.
    Inventors: Nobuhiro Hayashi, Shin Yokoi, Isao Tada, Atsushi Nakatsuka
  • Publication number: 20100047574
    Abstract: A coating is formed by chemical vapour deposition an electrically heated filament which is passed through an end plate into a deposition chamber and leaves the deposition chamber through a similar end plate. The filament slides through an entrance passage into the deposition chamber. The entrance passage is formed from misaligned portions which press the filament into direct electrical contact with their walls. A tube communicates with a chamber between the ends of the passage and acts as a sealing means to prevent gas escaping from the deposition chamber through the entrance passage. The end plate operates in exactly the same manner. As no mercury or a low-melting point eutectic alloy is used, no contaminants associated therewith are produced and the resultant coated filament is free of such contaminants.
    Type: Application
    Filed: August 29, 2008
    Publication date: February 25, 2010
    Inventors: Ray Paul Durman, Robert Alan Shatwell, Stephen John Kyle-Henney, Renny Neil Moss, Robert Slightam
  • Publication number: 20100015356
    Abstract: An in-line film forming apparatus is provided which prevents uneven processing from occurring when reactive plasma treatment or ion irradiation treatment is performed on a substrate held by a carrier. A carrier (25) includes a holder (28) provided with a hole (29) which allows a substrate to be disposed therein, and a plurality of supporting members (30) attached to the periphery of the hole (29) of the holder (28) in an elastically deformable manner, and is capable of detachably holding the substrate fitted into the inside of the supporting members (30) while an outer peripheral portion of the substrate is made to abut on the plurality of supporting members (30). Within a chamber which performs reactive plasma treatment or ion irradiation treatment on the substrate held by the carrier (25), a ring member (32) having an opening (32a) in a position corresponding to the substrate is disposed so as to face at least one surface or both surfaces of the substrate held by the carrier (25).
    Type: Application
    Filed: July 6, 2009
    Publication date: January 21, 2010
    Applicant: SHOWA DENKO K.K.
    Inventors: Masato FUKUSHIMA, Akira Sakawaki, Tomoo Shige
  • Patent number: 7647887
    Abstract: A thin film forming apparatus includes: a first electrode having a first discharge surface and a second electrode having a second discharge surface, the first discharge surface facing opposite to the second discharge surface to form a discharge space; a gas supply unit for supplying a gas including a thin film formation gas to the discharge space; a power source for discharging and activating the gas by applying a high frequency electric field across the discharge space; and a film transporting mechanism for transporting a protecting film for preventing at least one of the first electrode and the second electrode from being exposed to the activated gas, wherein a thin film is formed by exposing a substrate to the activated gas and, the protecting film is transported in contact with at least one of the first discharge surface and the second discharge surface and with at least a part of a surface other than the discharge surface which continues to the discharge surface.
    Type: Grant
    Filed: March 23, 2004
    Date of Patent: January 19, 2010
    Assignee: Konica Minolta Holdings, Inc.
    Inventors: Kikuo Maeda, Yoshiro Toda, Koji Fukazawa
  • Publication number: 20090304924
    Abstract: An apparatus and method for large area high speed atomic layer chemical vapor processing wherein continuous and alternating streams of reactive and inert gases are directed towards a co-axially mounted rotating cylindrical susceptor from a plurality of composite nozzles placed around the perimeter of the processing chamber. A flexible substrate is mounted on the cylindrical susceptor. In one embodiment, the process reactor has four composite injectors arranged substantially parallel to the axis of rotation of the cylindrical susceptor. In the other embodiment, the susceptor cross section is a polygon with a plurality of substrates mounted on its facets. The reactor can be operated to process multiple flexible or flat substrates with a single atomic layer precision as well as high-speed chemical vapor processing mode. The atomic layer chemical vapor processing system of the invention also has provisions to capture unused portion of injected reactive chemical precursors downstream.
    Type: Application
    Filed: March 3, 2006
    Publication date: December 10, 2009
    Inventor: Prasad Gadgil
  • Publication number: 20090291209
    Abstract: Atomic layer deposition apparatus for depositing a film in a continuous fashion. The apparatus includes a process tunnel, extending in a transport direction and bounded by at least a first and a second wall. The walls are mutually parallel and allow a flat substrate to be accommodated there between. The apparatus further includes a transport system for moving a train of substrates or a continuous substrate in tape form, through the tunnel. At least the first wall of the process tunnel is provided with a plurality of gas injection channels that, viewed in the transport direction, are connected successively to a first precursor gas source, a purge gas source, a second precursor gas source and a purge gas source respectively, so as to create a tunnel segment that—in use—comprises successive zones containing a first precursor gas, a purge gas, a second precursor gas and a purge gas, respectively.
    Type: Application
    Filed: May 20, 2008
    Publication date: November 26, 2009
    Applicant: ASM International N.V.
    Inventors: Ernst H.A. Granneman, Sebastiaan E. van Nooten
  • Publication number: 20090285999
    Abstract: A coating device for coating a flexible substrate and methods of manufacturing and operating a coating device are described. The coating device includes a roll having an axis and two or more insulating layers, wherein a first insulating layer is applied on a first area and a second insulating layer is applied on a second area on the surface of the roll, the first and second area being circumferential, and wherein at least one insulating layer is adapted to be applied as a vapor or as a fluid, and a central area between the first and second area, and a flexible substrate receiving area having two or more boundaries, wherein a first boundary lies within the first area and a second boundary lies within the second area.
    Type: Application
    Filed: May 16, 2008
    Publication date: November 19, 2009
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Wolfgang ACHTNER, Guenter KLEMM, Peter OLBRICH
  • Publication number: 20090280249
    Abstract: A flash evaporation apparatus includes: a reaction chamber; a drum to support a continuously conveyed film; a plurality of evaporators; monomer tanks which are connected to the respective evaporators and hold different kinds of liquid monomers therein; liquid feeding pumps for feeding the monomers from the monomer tanks to the evaporators; a merging section for merging vaporized monomers discharged from the evaporators; a mixer connected to the merging section; and a nozzle connected to the mixer. Accordingly, the flash evaporation apparatus can vaporize different kinds of monomers using separate evaporators and mix the monomers.
    Type: Application
    Filed: April 30, 2009
    Publication date: November 12, 2009
    Applicant: FUJIFILM CORPORATION
    Inventor: Hisashi TAKAHASHI
  • Publication number: 20090274830
    Abstract: The present invention generally relates to a method and an apparatus for processing one or more substrates on a roll to roll system. The one or more substrates may pass through several processing chambers to deposit the layers necessary to produce an OLED structure. The processing chambers may include ink jetting chambers, chemical vapor deposition (CVD) chambers, physical vapor deposition (PVD) chambers, and annealing chambers. Additional chambers may also be present.
    Type: Application
    Filed: April 28, 2009
    Publication date: November 5, 2009
    Applicant: APPLIED MATERIALS, INC.
    Inventors: JOHN M. WHITE, Takehara TAKAKO
  • Publication number: 20090258476
    Abstract: Improved methods and apparatus for forming thin-film layers of semiconductor material absorber layers on a substrate web. According to the present teachings, a semiconductor layer may be formed in a multi-zone process whereby various layers are deposited sequentially onto a moving substrate web.
    Type: Application
    Filed: April 15, 2009
    Publication date: October 15, 2009
    Applicant: Global Solar Energy, Inc.
    Inventors: Jeffrey S. Britt, Scot Albright
  • Publication number: 20090255467
    Abstract: Improved methods and apparatus for forming thin-film layers of semiconductor material absorber layers on a substrate web. According to the present teachings, a semiconductor layer may be formed in a multi-zone process whereby various layers are deposited sequentially onto a moving substrate web.
    Type: Application
    Filed: April 15, 2009
    Publication date: October 15, 2009
    Applicant: Global Solar Energy, Inc.
    Inventors: Jeffrey S. Britt, Scot Albright
  • Publication number: 20090246372
    Abstract: An apparatus, system and method for preventing premature drying of a surface of a substrate between fabrication operations includes receiving a substrate for cleaning, performing wet cleaning operations to the surface of the substrate to remove contaminants and fabrication chemistries left behind during one or more fabrication operations from the surface of the substrate, identifying a saturated gas chemistry and applying the identified saturated gas chemistry in a transition region such that the surface of the substrate exposed to the saturated gas chemistry in the transition region retains the moisture thereby preventing the surface of the substrate from premature drying. The saturated gas chemistry is applied between two subsequent wet-cleaning operations.
    Type: Application
    Filed: May 14, 2007
    Publication date: October 1, 2009
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Seokmin Yun, Mark Wilcoxson
  • Patent number: 7594479
    Abstract: In a film formation chamber, a gas flow to be introduced is rectified in a direction away from the film formation surface of the substrate on which the film is to be formed, so as to exhaust the fine particles generated in the discharge space and the fragmental particles generated by exfoliation of the film from the wall of the vacuum chamber and the discharge electrode, thereby preventing the particles from adhering the film formation surface of the substrate. The fine particles and fragmental particles are sucked and exhausted from a plurality of apertures provided on the entire surface of the discharge electrode to establish a steady state in which the amount of a film deposited on the discharge electrode and the amount of an exfoliating film to be exhausted are equal to each other, thereby allowing continuous film formation without cleaning the discharge electrode over a long period.
    Type: Grant
    Filed: March 28, 2001
    Date of Patent: September 29, 2009
    Assignees: Semiconductor Energy Laboratory Co., Ltd., TDK Corporation
    Inventors: Masato Yonezawa, Naoto Kusumoto, Hisato Shinohara
  • Patent number: 7594970
    Abstract: A web coating apparatus with a vacuum chamber (1) has between a rear wall (18) and at least one removable closing plate (22), housing member (20) with a planar cover (10), and at least one guide roll (12, 13, 14, 15) and a coating cylinder (9) with an axle (A) and at least one coating source (39a, 39b, 39c) are arranged in the vacuum chamber (1). To reduce structural height and width and to achieve an easily viewable and controllable web path while avoiding particle formation in the reach of the coating cylinder (9), provision is made pursuant to the invention that the ends of the at least one guide roll (12, 13, 14, 15) and of the coating cylinder are affixed by supporting elements (16, 17 and 19) with bearings to the cover (10) and that the cavity in the vacuum chamber (1) under the coating cylinder (9) is kept free of supporting elements.
    Type: Grant
    Filed: February 23, 2004
    Date of Patent: September 29, 2009
    Assignee: Applied Materials GmbH & Co. KG
    Inventors: Stefan Hein, Peter Skuk
  • Publication number: 20090194505
    Abstract: Techniques are described for improving the quality and yield of vacuum-processed substrates. A system can include a tape-like substrate that is supplied by unwind spool to a web guide, tension control roller, and additional idler rolls. The substrate can then enter a coating zone, following an essentially spiral pathway and traversing the coating source a number of times before exiting the coating zone and rewinding on spool. The effect of multiple passes through various flux areas of source is to smooth and average out the coating thickness non-uniformities resulting from a non-uniform flux. Related methods are described. Embodiments can be particularly well suited for the manufacture of data tapes including, but not limited to, metal evaporated magnetic, magneto-optical, phase change optical, and preformatted, or thin-film electronics, sensors, RFID tags, and solar films, to name a few examples.
    Type: Application
    Filed: January 26, 2009
    Publication date: August 6, 2009
    Applicant: MICROCONTINUUM, INC.
    Inventor: W. Dennis Slafer
  • Publication number: 20090181186
    Abstract: A system for coating a substrate includes a heater that heats the substrate. The heater includes a two-dimensional array of a plurality of heat sources which supply heat to the substrate when the substrate is in the presence of the array of heat sources. The heater further includes a controller that controls the operation of each heat source to heat a localized area of the surface of the substrate according to a predetermined temperature profile for the substrate.
    Type: Application
    Filed: March 18, 2009
    Publication date: July 16, 2009
    Inventor: Steven M. Gasworth
  • Publication number: 20090133629
    Abstract: Provided is an in-line film-formation apparatus including: deposition sources, deposition-preventing plates, and a screen. The deposition sources store different film-formation materials, and include openings extending in the width directions of a substrate, which is perpendicular to the conveying direction. The openings, arranged in parallel with each other, are disposed respectively on the upstream and the downstream sides in the conveying direction. The plates, partitioning a co-deposition chamber from adjacent deposition chambers and placed, in parallel to each other, on the upstream and the downstream sides in the conveying direction, limit a deposition region of the vapor from the openings. The screen limits and makes the deposition regions of the substrate for vapor from openings coincide with deposition regions limited by the plates. Thereby, the formation of a mono-content film is prevented and only the mixed film is formed on the substrate.
    Type: Application
    Filed: November 18, 2008
    Publication date: May 28, 2009
    Applicant: Mitsubishi-Hitachi Metals Machinery, Inc.
    Inventors: Susumu KAMIKAWA, Keiichi SATO, Hiroko KITAMOTO, Toshiro KOBAYASHI
  • Publication number: 20090133628
    Abstract: A continuous vacuum system for processing substrates has an inlet air lock, an outlet air lock, at least one process chamber, and a device for conveying the substrates through the continuous system. To create a continuous system having a compact design and high throughput for plasma-enhanced treatment of substrates at a reduced pressure, which ensures a simple, rapid and secure handling of the substrates with a high capacity of the substrate carrier, the conveying device has at least one plasma boat in which the substrates are arranged on a base plate in a three-dimensional stack in at least one plane at a predefined distance from one another with intermediate carriers in between. At least the intermediate carriers are made of graphite or another suitable electrically conductive material and can be acted upon electrically with an alternating voltage via an electric connection.
    Type: Application
    Filed: October 22, 2008
    Publication date: May 28, 2009
    Applicant: Centrotherm Photovoltaics AG
    Inventors: Roland DAHL, Josef Haase, Moritz Heintze, Thomas Pernau, Hans Reichart, Harald Wanka, Jan-Dirk Kaehler, Reinhard Lenz, Dieter Zernickel, Robert Michael Hartung
  • Patent number: 7517141
    Abstract: The present invention facilitates multi-zone furnace (102) based deposition processes by iteratively adjusting deposition time and zonal setpoint temperatures to mitigate deviations from desired target thickness(es). Coupled feedback loops are employed to update the deposition time (520) and the zonal setpoint temperatures (510) lot to lot and batch to batch while mitigating deviations fro the desired target thickness(es). Error checking is performed by computing an error metric (506) and only updating the setpoint temperatures on the error metric being within an acceptable value (508). Additionally, an excitation parameter (512) is determined that indicates variations in furnace operation.
    Type: Grant
    Filed: July 25, 2007
    Date of Patent: April 14, 2009
    Assignee: Texas Instruments Incorporated
    Inventors: Nital S. Patel, Amit M. Rajadhyaksha, James Boone
  • Patent number: 7513949
    Abstract: An amorphous silicon film is formed on a flat glass substrate, and then crystallized by heating to obtain a crystalline silicon film. The glass substrate is placed on a stage having a convex U-shaped curved surface. The glass substrate is heated for a desired period of time at a temperature close to a strain point of the glass substrate, and then is cooled. Also, an amorphous silicon film formed on a glass substrate is crystallized into a crystalline silicon film by heating and then the glass substrate is mounted on a stage having a flat surface in such a manner that the lower surface of the glass substrate is in close contact with the flat surface of the stage by pressing the upper surface of the glass substrate. Then, a linear laser beam is irradiated on the crystalline silicon film in a scanning manner.
    Type: Grant
    Filed: May 9, 2005
    Date of Patent: April 7, 2009
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Koichiro Tanaka
  • Patent number: 7504078
    Abstract: An apparatus for continuous production of aligned carbon nanotubes is disclosed. The apparatus includes a reactor, an injector for delivering a feed solution into the reactor, and a conveyor for passing through the reactor a substrate on which nanotubes may be formed and grown. The apparatus further may include an inert, porous medium through which the feed solution may be passed. The apparatus produces aligned carbon nanotubes of a predetermined external diameter, and is suitable for large scale production of aligned carbon nanotubes in an industrial setting.
    Type: Grant
    Filed: December 29, 2006
    Date of Patent: March 17, 2009
    Assignee: University of Kentucky Research Foundation
    Inventors: David N. Jacques, Rodney J. Andrews
  • Publication number: 20090056628
    Abstract: Methods and apparatus for producing nanoparticles, including single-crystal semiconductor nanoparticles, are provided. The methods include the step of generating a constricted radiofrequency plasma in the presence of a precursor gas containing precursor molecules to form nanoparticles. Single-crystal semiconductor nanoparticles, including photoluminescent silicon nanoparticles, having diameters of no more than 10 nm may be fabricated in accordance with the methods.
    Type: Application
    Filed: November 3, 2008
    Publication date: March 5, 2009
    Inventors: Uwe Kortshagen, Elijah J. Thimsen, Lorenzo Mangolini, Ameya Bapat, David Jurbergs
  • Patent number: 7497911
    Abstract: Apparatus and methods for flattening thin substrate surfaces by stretching thin flexible substrates to which ICs can be bonded. Various embodiments beneficially maintain the substrate flatness during the assembly process through singulation. According to one embodiment, the use of a window frame type component carrier allows processing of thin laminates and flex films through various manufacturing processes. The flexible substrate is bonded to a rigid carrier. The carrier is placed into a specialized fixture comprising a bottom plate and a top plate. The bottom plate with raised regions is created that allows the windowed region of the flex film to be pressed flat. After aligning the top plate, the bottom plate, and the middle structure, the plates are pressed together causing the raised regions to push the flex film substrate upward and around the carrier.
    Type: Grant
    Filed: August 15, 2006
    Date of Patent: March 3, 2009
    Assignee: Cardiac Pacemakers, Inc.
    Inventors: Anthony A. Primavera, Vijesh Unnikrishnan, David J. Smith
  • Publication number: 20090050057
    Abstract: Apparatus for continuous coating has a chamber wall which forms a processing chamber, thermal insulation which forms a processing area within the chamber, a transportation device for substrates located in the processing area with a substrate transportation direction of the substrates lying in the lengthwise extension of the apparatus for continuous coating, and heating equipment which heats the substrates, is designed to minimize unwanted coating, in particular of parts of the apparatus, in order to minimize the expense of maintaining and servicing the apparatus A condensation element is positioned in the processing chamber, which extends into the processing area and binds the arising vapor through condensation.
    Type: Application
    Filed: August 25, 2008
    Publication date: February 26, 2009
    Applicant: VON ARDENNE Anlagentechnik GmbH
    Inventors: Hubertus VON DER WAYDBRINK, Siegfried SCHEIBE, Jens MEYER, Andrej WOLF, Uwe TRAEBER, Michael HENTSCHEL
  • Patent number: 7485205
    Abstract: Method of generating an atmospheric pressure glow discharge plasma (APG), wherein said plasma is generated in a discharge space formed between at least one first electrode surface and at least one second electrode surface. The method comprises at least the steps of supplying a gaseous substance to said discharge space and powering said first and said second electrode surface for generating said plasma. Said step of supplying a gaseous substance to the discharge space comprises providing at least one intermediate gas supply stream from at least one of said first and second electrode surfaces. The step of supplying said gaseous substance to the discharge space further comprises providing a main gas supply stream for forcing the at least one intermediate gas supply stream in a direction along the first and second electrode surfaces.
    Type: Grant
    Filed: August 31, 2004
    Date of Patent: February 3, 2009
    Assignee: Fuji Photo Film B.V.
    Inventors: Hindrik Wilem DeVries, Jan Bastiaan Bouwstra
  • Publication number: 20090017192
    Abstract: Provided is a method for moving, in a vacuum chamber carrying therein a fixedly-provided evaporation source, a substrate toward the evaporation source together with a mask closely attached to the substrate surface, and onto the surface substrate, evaporating a material vaporized in the evaporation source through an aperture formed to the mask. In this method of the invention, means for moving the substrate toward the evaporation source is provided with cooling means not to come in contact with but to be in proximity to a surface of the mask on the evaporation source side, and a cooling plate formed with an aperture proximal to the evaporation source is disposed. With such a configuration, the steam of the material coming from the evaporation source is directed to the mask and the substrate through the aperture of the cooling plate.
    Type: Application
    Filed: June 27, 2008
    Publication date: January 15, 2009
    Inventor: Hiroyasu Matsuura
  • Patent number: 7476278
    Abstract: The invention is an apparatus and method for producing an electronic component comprising at least one active organic layer. The invention discloses for the first time how an organic component can be produced in a process designed entirely as a roll-to-roll process. The advantage of the continuous production method described here is, further, that the active regions of the active semiconductor layer are not exposed to unprotected solvents and/or solvent vapors at any time during the production process. This makes it possible to produce a high-quality organic component.
    Type: Grant
    Filed: May 11, 2005
    Date of Patent: January 13, 2009
    Assignee: Konarka Technologies, Inc.
    Inventors: Christoph Brabec, Jens Hauch
  • Publication number: 20090011146
    Abstract: A vapor deposition film formation method includes a step for arranging a surface wave generating device (10) using a microwave in a vacuum region, a step for continuously feeding a plastic film substrate (13) into the vacuum region so as to oppose to the surface wave generating device, a step of continuously supplying a reaction gas containing at least organic metal compound into the vacuum region, and a step for executing plasma reaction by the surface wave of the microwave from the surface wave generating device (10), thereby continuously forming a vapor deposition film on the surface of the film substrate (13). This method enables continuous formation of a vapor deposition film on the surface of a film substrate, especially a long film, by the surface wave plasma of the microwave.
    Type: Application
    Filed: April 3, 2006
    Publication date: January 8, 2009
    Applicant: TOYO SEIKAN KAISHA, LTD.
    Inventors: Kouji Yamada, Ichiro Kunihiro, Hajime Inagaki, Hideo Kurashima
  • Patent number: 7462244
    Abstract: A vacuum deposition apparatus is used for deposit evaporated substance from evaporation sources (6a and 6b) on the desired position of a flexible substrate (1). While the flexible substrate (1) is carried using rollers in a vacuum, shutters (8a and 8b) are opened and closed to control the movement of the evaporated substance via openings. A film having a desired shape of pattern is formed on the flexible substrate (1) with higher controllability.
    Type: Grant
    Filed: November 21, 2002
    Date of Patent: December 9, 2008
    Assignee: NEC Corporation
    Inventors: Koji Utsugi, Hironori Yamamoto, Mariko Miyachi, Tamaki Miura, Mitsuhiro Mori, Yutaka Bannai, Ikiko Yamazaki
  • Publication number: 20080280057
    Abstract: An apparatus and process for making glass soot sheet and sintered glass sheet. Glass soot particles are deposited on a curved deposition surface of a rotating drum to form a soot sheet. The soot sheet is then released from the deposition surface. The soot sheet can be sintered into a consolidated glass. The soot sheet and the sintered glass can be sufficiently long and flexible to be reeled into a roll.
    Type: Application
    Filed: May 7, 2007
    Publication date: November 13, 2008
    Inventors: Daniel Warren Hawtof, Michael Donovan Brady
  • Patent number: 7429311
    Abstract: A method and assembly is disclosed for moistening a web of paper or paperboard, the assembly comprising a steam blow cavity open toward a moving web (1). The steam blow cavity houses spray nozzles (5, 6, 7) at least for injecting steam into the cavity so as to form a steam atmosphere therein. Additionally, the steam blow cavity houses spray nozzles (5, 6, 7) for spraying a mist of a heated liquid onto the web (1) within the thus formed steam atmosphere.
    Type: Grant
    Filed: January 8, 2004
    Date of Patent: September 30, 2008
    Assignee: Metso Paper, Inc.
    Inventors: Reijo Pietikäinen, Henri Vaittinen, Heikki Kettunen, Markku Kojo
  • Patent number: 7421974
    Abstract: A layer forming method is disclosed which comprises the steps of supplying power of not less than 1 W/cm2 at a high frequency voltage exceeding 100 kHz across a gap between a first electrode and a second electrode opposed to each other at atmospheric pressure or at approximately atmospheric pressure to induce a discharge, generating a reactive gas in a plasma state by the charge, and exposing a substrate to the reactive gas in a plasma state to form a layer on the substrate.
    Type: Grant
    Filed: March 4, 2005
    Date of Patent: September 9, 2008
    Assignee: Konica Corporation
    Inventors: Kazuhiro Fukuda, Yoshikazu Kondo, Takashi Murakami, Shunichi Iwamaru, Yumi Muramatsu, Toshio Tsuji
  • Publication number: 20080202418
    Abstract: An entry lock system for feeding web from a web supply to a web processing installation, the entry lock system comprising a first chamber having an inlet port and an outlet port, a second chamber having an inlet port, a first seal positioned at the inlet port of the first chamber, a second seal positioned between the first chamber and the second chamber, a first web storage unit positioned in the first chamber; and a second web storage unit positioned in the second chamber.
    Type: Application
    Filed: February 1, 2008
    Publication date: August 28, 2008
    Inventors: Peter Sauer, Stefan Hein
  • Patent number: 7410542
    Abstract: A roll to roll, film forming system overcoming the shortcomings of current roll to roll processing is presented; The roll to roll enabled cartridge is loaded with a bolt of fresh flexible substrate. A skate couples with a cartridge, forms a seal with the cartridge's bottom aperture and drives the cartridge along a rail to an array of deposition heads. A head mates with the cartridge top forming a pressure seal around the variable area deposition aperture. The mating of the skate, cartridge and head form a pressure vessel wherein a film forming environment may be maintained. As the substrate advances past the deposition aperture a layer is formed, potentially from bolt end to bolt end. Device growth continues from one head to another, as grown device encapsulation seal inclusive, aperture environmental seals applied, labeled cartridge is routed to dock, skate returns to system start.
    Type: Grant
    Filed: July 31, 2007
    Date of Patent: August 12, 2008
    Inventors: Paul Terrance Nolan, Armando Martin Arias del Cid
  • Publication number: 20080175993
    Abstract: A roll to roll rapid thermal processing tool which is used to react a precursor material disposed over a flexible foil substrate to form a solar cell absorber. The RTP tool includes a significantly low aspect ratio process gap through which a flexible foil substrate is moved. A low temperature zone of the RTP tool forms a first portion of the process gap, a high temperature zone of the RTP tool forms a second portion of the process gap, and a buffer zone forms a third portion of the process gap that connects the first portion to the second portion of the gap. The temperature of a section of the flexible foil substrate is increased from the temperature of the low temperature zone to the temperature of the high temperature zone as the section of the continuous workpiece travels through the buffer zone. The buffer zone includes at least one low thermal conductivity section having cavities.
    Type: Application
    Filed: February 6, 2008
    Publication date: July 24, 2008
    Inventors: Jalal Ashjaee, Ying Yu, Bulent M. Basol
  • Patent number: 7387081
    Abstract: A device for forming an ion sheath in a plasma to deposit coatings on a non-conducting substrate. The device comprises a tubular reaction chamber having an outer surface wound helically with a first electrode having a first width. Helical winding of the first electrode provides a plurality of first wraps around the outer surface of the tubular reaction chamber. The device further includes a second electrode having a second width that is larger than the first width. Helical winding of the second electrode provides a plurality of second wraps alternating with the first wraps around the outer surface of the tubular reaction chamber. An ion sheath in a plasma forms to a thickness extending at least to the longitudinal axis of the tubular reaction chamber when the first electrode has a connection to a source of radio-frequency power and the second electrode provides a path to ground.
    Type: Grant
    Filed: January 23, 2003
    Date of Patent: June 17, 2008
    Assignee: 3M Innovative Properties Company
    Inventors: Moses M. David, Michael C. Gifford
  • Patent number: 7332032
    Abstract: Methods of forming a layer on a substrate using complexes of Formula I. The complexes and methods are particularly suitable for the preparation of semiconductor structures. The complexes are of the formula LyMYz (Formula I) wherein: M is a metal; each L group is independently a neutral ligand containing one or more Lewis-base donor atoms; each Y group is independently an anionic ligand; y=a nonzero integer; and z=a nonzero integer corresponding to the valence state of the metal.
    Type: Grant
    Filed: September 1, 2004
    Date of Patent: February 19, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Brian A. Vaartstra
  • Publication number: 20070281089
    Abstract: Embodiments of the invention include a roll-to-roll atomic layer deposition (ALD) device. The device includes mechanisms to enable relative movement between a substrate to be deposited upon and various chambers containing ALD precursor gases.
    Type: Application
    Filed: June 5, 2006
    Publication date: December 6, 2007
    Inventors: Christian Maria Anton Heller, Ahmet Gun Erlat, Eric Michael Breitung
  • Publication number: 20070272153
    Abstract: An apparatus for low-temperature plasma treatment of a continuous length plastic film which can work for surface modifying processing for a film using low-temperature plasma while maintaining the dimensional stability without causing damage to the film. The apparatus for performing surface modifying processing for a film comprises: a first vacuum chamber equipped with an unrolling unit for unrolling a rolled plastic film; a second vacuum chamber in which the unrolled plastic film is subjected to a low-temperature plasma treatment on the surface; and a third vacuum chamber equipped with a winding unit for winding the plasma-treated plastic film into a roll, the vacuum chambers being connected together in series along the running direction of the plastic film under treatment.
    Type: Application
    Filed: May 22, 2007
    Publication date: November 29, 2007
    Inventors: Shigehiro Hoshida, Shinji Suzuki, Tadashi Amano
  • Publication number: 20070261638
    Abstract: In a measurement mechanism for continuously measuring a thickness of a coating layer, provided in an apparatus for forming the coating layer on a conductive elongate base material in a coating treatment base station while the base material is fed, a sensing portion for measuring a capacitance value of the coating layer is arranged before and after the base station, and tension applied to the base material at the sensing portion is set to be greater than tension applied to the base material at the base station. Thus, in forming the coating layer on the elongate base material while the base material is continuously fed, variation in a feeding speed is suppressed, influence of sway of a measurement surface in a direction of thickness at the thickness sensing portion during feeding is minimized, and a thickness of the coating layer can be measured with higher accuracy.
    Type: Application
    Filed: May 8, 2007
    Publication date: November 15, 2007
    Inventors: Hideaki Awata, Katsuji Emura, Kentaro Yoshida
  • Patent number: 7294283
    Abstract: The preferred embodiments described herein provide a Penning discharge plasma source. The magnetic and electric field arrangement, similar to a Penning discharge, effectively traps the electron Hall current in a region between two surfaces. When a substrate (10) is positioned proximal to at least one of the electrodes (11, 12) and is moved relative to the plasma, the substrate (10) is plasma treated, coated or otherwise modified depending upon the process gas used and the process pressure. This confinement arrangement produces dramatic results not resembling known prior art. Using this new source, many applications for PECVD, plasma etching, plasma treating, sputtering or other plasma processes will be substantial improved or made possible. In particular, applications using flexible webs (10) are benefited.
    Type: Grant
    Filed: April 10, 2002
    Date of Patent: November 13, 2007
    Assignee: Applied Process Technologies, Inc.
    Inventor: John Madocks
  • Patent number: RE40871
    Abstract: The first object of the present invention is to provide a PDP with improved panel brightness which is achieved by improving the efficiency in conversion from discharge energy to visible rays. The second object of the present invention is to provide a PDP with improved panel life which is achieved by improving the protecting layer protecting the dielectrics glass layer. To achieve the first object, the present invention sets the amount of xenon in the discharge gas to the range of 10% by volume to less than 100% by volume, and sets the charging pressure for the discharge gas to the range of 500 to 760 Torr which is higher than conventional charging pressures. With such construction, the panel brightness increases. Also, to achieve the second object, the present invention has, on the surface of the dielectric glass layer, a protecting layer consisting of an alkaline earth oxide with (100)-face or (110)-face orientation.
    Type: Grant
    Filed: November 29, 2001
    Date of Patent: August 18, 2009
    Assignee: Panasonic Corporation
    Inventors: Masaki Aoki, Hideo Torii, Eiji Fujii, Mitsuhiro Ohtani, Takashi Inami, Hiroyuki Kawamura, Hiroyoshi Tanaka, Ryuichi Murai, Yasuhisa Ishikura, Yutaka Nishimura, Katsuyoshi Yamashita