Having Glow Discharge Electrodes (e.g., Dc, Ac, Rf, Etc.) Patents (Class 118/723E)
  • Patent number: 5885428
    Abstract: Method and apparatus for retaining a workpiece in a process chamber of a semiconductor wafer processing system. The apparatus has a mechanical clamp for clamping the periphery of the workpiece to a pedestal and an electrostatic clamp for clamping the center of the workpiece to the pedestal.
    Type: Grant
    Filed: December 4, 1996
    Date of Patent: March 23, 1999
    Assignee: Applied Materials, Inc.
    Inventor: Igor Kogan
  • Patent number: 5885352
    Abstract: This invention provides a vapor phase processing apparatus which forms a film on a substrate by using a source gas, and includes a processing chamber for accommodating the substrate, a gas supply unit, having a gas supply hole, for supplying a source gas into the processing chamber, and a detection unit for detecting a degree of clogging of the gas supply holes.
    Type: Grant
    Filed: December 2, 1997
    Date of Patent: March 23, 1999
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Hideshi Miyajima
  • Patent number: 5885423
    Abstract: In a vacuum processing chamber, a method and apparatus for securing an article to a ceramic plate comprises forming a bore in the ceramic plate, forming a counterbore in the ceramic plate, the counterbore having a center which is offset from a center of the bore, inserting a nut into the counterbore, the nut having a threaded hole therein which is offset from the center of the nut to the same degree that the center of the bore is offset from the center of the counterbore, securing the article to the ceramic plate with a screw which is received into the threaded hole of the nut, and fixing an insulating plug having an outer diameter substantially equal to the diameter of the counterbore in the counterbore adjacent to the nut.
    Type: Grant
    Filed: March 29, 1996
    Date of Patent: March 23, 1999
    Assignee: LAM Research Corporation
    Inventor: Francois L. Guyot
  • Patent number: 5882492
    Abstract: An AC plasma processing system according to the present invention may include a transformer for placing an alternating current on a first electrode and a second electrode contained within a process chamber. The secondary winding of the transformer is connected across the first and second electrodes. The primary winding of the transformer is connected to an external power supply. A switching device connected to the primary winding of the transformer periodically short circuits the primary winding to induce a secondary voltage in the secondary winding that is less than the arcing voltage associated with the process chamber.
    Type: Grant
    Filed: June 21, 1996
    Date of Patent: March 16, 1999
    Assignee: Sierra Applied Sciences, Inc.
    Inventors: Barry W. Manley, Keith H. Billings
  • Patent number: 5882468
    Abstract: By moving a substrate relative to a shadow mask in a reactive ion etching system, we are able to precisely tailor the thickness of critical layers. To minimize disturbing the plasma, all the mechanical components are kept below the anode. The system is highly reproducible, and can be programmed to yield arbitrary vertical profiles along one horizontal axis. Using silicon-on-insulator substrates, the resonance wavelength was modified as a function of position with better than 1 nm control in the vertical dimension. This technique should prove useful for optical devices where the thickness of the layers controls the device characteristics.
    Type: Grant
    Filed: February 24, 1997
    Date of Patent: March 16, 1999
    Assignee: International Business Machines Corporation
    Inventors: John G. Crockett, Bardia Pezeshki, Robert L. Sandstrom
  • Patent number: 5882414
    Abstract: The present invention provides a method and apparatus for introducing gases into a processing chamber and cleaning isolated surfaces thereof. In one embodiment, the apparatus provides a gas distribution system which comprises a face plate and a blocker plate located adjacent the face plate wherein the blocker plate is electrically insulated from the face plate. An RF power source is electrically connected to the face plate and a switch that selectively connects the blocker plate to the RF power source or grounds the blocker plate. When the power source is applied to the faceplate and the blocker plate is grounded, an energy potential is formed between the face plate and the blocker plate. The energy potential is sufficient to strike a plasma from cleaning gases introduced into the gas distribution system to clean the apertures and surfaces of both the face plate and the blocker plate.
    Type: Grant
    Filed: September 9, 1996
    Date of Patent: March 16, 1999
    Assignee: Applied Materials, Inc.
    Inventors: Gary L. Fong, Quoc Truong, Visweswaren Sivaramakrishman
  • Patent number: 5879741
    Abstract: When a thin film is formed on a flexible and filmy substrate by a vapor phase method, the substrate is prevented from warping to be caused by the internal stress remaining in the thin film. When the thin film is formed by the vapor phase method, the substrate is previously curved so that the stress acts in the direction canceling the internal stress remaining in the thin film to be formed prior to the filming. Accordingly, the stress of the curved substrate cancels out the stress remaining in the thin film formed on the substrate. The substrate having a thin film formed thereon is not warped, the stress in the interface between the thin film formed and the substrate is removed, and the thin film has no cracks to be caused by the stress.
    Type: Grant
    Filed: August 11, 1997
    Date of Patent: March 9, 1999
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Kenji Itoh
  • Patent number: 5876504
    Abstract: The present invention relates to a process for producing crystallographic oriented oxide thin films having an NaCl-type structure, a spinel structure or a Wurtzite structure used as a buffer layer to obtain a functional oxide thin film such as a superconductive oxide thin film and a ferroelectric thin film, and a chemical vapor deposition apparatus used therefor. A rotatable substrate holder is provided in a reaction chamber. The substrate holder, which holds substrates thereunder, includes a substrate heater. The substrate holder is grounded to provide an electrode. Another electrode, which is connected to a high frequency power source, is located opposing the substrate holder in the reaction chamber. At a side wall of the reaction chamber, an exhaust is arranged. In a plasma electric discharge area formed between the substrate holder and the electrode, a material gas supplier is located, having a predetermined tilt angle .theta. with respect to the substrate holder.
    Type: Grant
    Filed: September 17, 1997
    Date of Patent: March 2, 1999
    Assignee: Matsushita Electric Industrial Co., Ltd
    Inventors: Eiji Fuji, Atsushi Tomozawa, Hideo Torii, Ryoichi Takayama
  • Patent number: 5874014
    Abstract: A method and apparatus for treating a work surface, wherein there is provided a chamber having a longitudinal axis and longitudinally extending electrically conductive sidewalls, at least one sidewall having at least one longitudinally extending gap that interrupts a current path through the sidewalls transverse to the longitudinal axis, and wherein the chamber is sealed to allow pressure inside the chamber to be controlled.
    Type: Grant
    Filed: June 7, 1995
    Date of Patent: February 23, 1999
    Assignees: Berkeley Scholars, Inc., Research Triangle Institute, Minnesota Mining and Manufacturing Company
    Inventors: Anthony E. Robson, Ronald A. Rudder, Robert C. Hendry, Moses M. David, James V. Burt
  • Patent number: 5868897
    Abstract: A device, and a method for using the device, for altering the surface of a substrate with a plasma includes a vessel having a chamber, a magnet and a plasma generator. Both the generator and the magnet are positioned outside the vessel while the substrate to be altered is placed in the chamber. The magnetic field is established substantially parallel to the substrate surface that is to be altered to insulate the plasma from the substrate surface. Also, a radio frequency wave is propagated from the generator into the chamber to generate the plasma in chamber which alters the surface. Specifically, the plasma is generated in ionization zones located between the substrate surface and the vessel walls. A region in the chamber is thus defined between the ionization zones where the plasma is established with substantially uniform density. Additionally, electrodes can be placed to voltage bias directly or capacitively the plasma for ion etching or deposition on the substrate surface.
    Type: Grant
    Filed: September 18, 1996
    Date of Patent: February 9, 1999
    Assignee: Toyo Technologies, Inc.
    Inventor: Tihiro Ohkawa
  • Patent number: 5869402
    Abstract: A reactive gas is introduced into a vacuum chamber by a gas controller so that a plasma is generated in a plasma generation region. Subsequently, high-frequency power from a high-frequency power source is applied to a sample stage in the vacuum chamber so that ions in the plasma are made incident upon the sample stage, thereby performing dry etching with respect to a sample on the sample stage. In main etching, a value of (pressure of reactive gas)/(frequency of high-frequency power) is reduced so as to reduce a scattering probability, which is the probability of ions being scattered in collision with neutral particles in a sheath region, thereby increasing the energy of ion fluxes and making the incidence directions of the ion fluxes perpendicular to a surface of the sample stage.
    Type: Grant
    Filed: May 10, 1995
    Date of Patent: February 9, 1999
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Kenji Harafuji, Masafumi Kubota
  • Patent number: 5868848
    Abstract: Disclosed is an etching apparatus for etching wafer W held on an electrostatic chuck 11 by using a plasma generated in a space between an upper electrode 21 and a susceptor 5 in a processing chamber 2. The plasma is generated by supplying a high frequency power from a high frequency power supply 43 via a power supply line 42. The etching apparatus includes a measuring electrode 18 made of silicon, attached to a focus-ring 17 provided around wafer W. The measuring electrode 18 can be electrically connected to a susceptor 5. A lead wire 44 is used, an end of which is connected to the power supply bar 42 and the other end of which is connected to a voltage indicator 46 for monitoring V.sub.DC via an RF filter 45. The V.sub.DC level having a constant correlation with the V.sub.DC generated on wafer W, can be detected through monitoring by the voltage indicator 46.
    Type: Grant
    Filed: June 6, 1996
    Date of Patent: February 9, 1999
    Assignee: Tokyo Electron Limited
    Inventor: Yuji Tsukamoto
  • Patent number: 5865896
    Abstract: The invention is embodied in a plasma reactor having a vacuum chamber with a cylindrical side portion and a ceiling at a certain height above the top of the cylindrical side portion, a wafer-holding pedestal near the bottom of the vacuum chamber, gas injection ports near the cylindrical side portion and a vacuum pump, the reactor including a generally planar disk-shaped conductive ceiling electrode adjacent the ceiling, a helical coil antenna having a bottom winding near the top of the cylindrical side portion and a top winding generally corresponding to the second diameter near the planar disk-shaped conductive ceiling electrode, the helical coil antenna substantially spanning the height between the top of the cylindrical side portion and the ceiling, and a switch for individually connecting each one of the coil antenna, the ceiling electrode and the wafer pedestal to one of (a) a respective RF power source or (b) ground or (c) a floating potential (i.e., unconnected to any potential source).
    Type: Grant
    Filed: December 16, 1996
    Date of Patent: February 2, 1999
    Assignee: Applied Materials, Inc.
    Inventors: Romuald Nowak, Kevin Fairbairn, Fred C. Redeker
  • Patent number: 5863339
    Abstract: A plasma processing apparatus and method in which a counter electrode is connected to a high frequency power source to generate a plasma and the substrate electrode is grounded and in which the substrate electrode is connected to a high frequency power source and the counter electrode is grounded to perform chamber etching.
    Type: Grant
    Filed: December 10, 1996
    Date of Patent: January 26, 1999
    Assignee: NEC Corporation
    Inventor: Tatsuya Usami
  • Patent number: 5861063
    Abstract: A second electrode 20 having a container-like configuration defines a reaction space 29. A mounting portion 12 of a first electrode 10 and a workpiece W loaded on the mounting portion 12 are surrounded by the second electrode 20. A pair of auxiliary walls 27 project upwardly from a bottom wall 21 of the second electrode 20. The mounting portion 12 for the first electrode 10 is disposed in an arrangement area A defined by the auxiliary walls 27. The mounting portion 12 projects from upper end edges of the auxiliary walls 27.
    Type: Grant
    Filed: January 23, 1996
    Date of Patent: January 19, 1999
    Inventor: Kentaro Shou
  • Patent number: 5858180
    Abstract: Magnetic domains in a thin magnetic film on information storage devices are aligned during manufacture of the device, preferably at the time the film is deposited onto a substrate by sputtering. A compact magnetic field generator generates a parallel magnetic field across the substrate with a magnet assembly that is not larger than approximately twice the size of the substrate or not larger than the sputtering target cathode assembly. The magnet assembly includes an interior magnet with poles at opposite ends of the substrate and two side magnets having pole pieces spaced by an air gap from the poles of the interior magnet. The interior magnet preferably includes a central magnet and two intermediate magnets, which share the same pole pieces as the central magnet. The interior magnets are located between the central magnet and the side magnets.
    Type: Grant
    Filed: December 19, 1996
    Date of Patent: January 12, 1999
    Assignees: Sony Corporation, Materials Research Corporation
    Inventor: Jon Shaochung Hsu
  • Patent number: 5855682
    Abstract: A plasma thin-film forming apparatus comprises a vacuum vessel, a positive and a negative electrode disposed in the vacuum vessel so that the discharge surfaces may face each other at a required interval, an exhaust means for making a required vacuum condition in the interior of the vacuum vessel, a high-voltage impressing means for generating DC glow discharge by impressing high voltage between the positive and the negative electrode, and a gas-inducting means for supplying metal compound-including gas into the vacuum vessel. The gas-inducting means comprises a flexible holding member gastightly fitted to a sublimation chamber communicating with the vacuum vessel and having a hollow portion therein and a glass container inserted in the hollow portion of the holding member and enclosing a predetermined quantity of crysterized osmium tetraoxide therein. The exhaust means is provided with a material gas-adsorbing means in the exhaust port.
    Type: Grant
    Filed: January 27, 1997
    Date of Patent: January 5, 1999
    Assignee: Nippon Laser & Electronics Lab
    Inventor: Katsumi Yoneda
  • Patent number: 5855686
    Abstract: A method and apparatus for vacuum depositing a coating onto a substrate are provided. The method includes the steps of: introducing an evaporant into a magnetically defined deposition region of a vacuum process chamber, ionizing the evaporant to form a plasma; generating a "magnetic bottle" magnetic field configuration to define the deposition region and to confine the plasma to the deposition region, further increasing the percentage ionization of the plasma to form a highly ionized media; creating a static dc electric field that is generally perpendicular to the magnetic field in the deposition region and parallel to the plane of the substrate; and then moving the substrate through the highly ionized media with the plane of the substrate and its direction of motion generally parallel to the magnetic field lines. The method of the invention is particularly suited to deposition of any atomistic evaporant onto intermediate-sized substrates.
    Type: Grant
    Filed: May 9, 1997
    Date of Patent: January 5, 1999
    Assignee: Depositech, Inc.
    Inventor: Ray Dean Rust
  • Patent number: 5855685
    Abstract: By forming at least one annular groove in each of electrical insulation members provided between a plasma generating electrode and a processing chamber, the insulation performance of the electrical insulation members are prevented from degradation during deposition of conductive films onto a substrate. The plasma generating electrode is substantially a coil of one turn and provided with a pair of introduction portions passing through a wall of the processing chamber. An insulation ring made of quartz glass is installed between each of the introduction portion and the processing chamber. The insulation ring has a round through hole in the center of a disc and three concentric protrusions, each of which is in the shape of an annulus ring, are formed at one side of the disc (the side exposed in the processing chamber). Two annular grooves are made between the protrusions. Each of the protrusions are 50 mm high, 1 mm thick, and 1 mm wide.
    Type: Grant
    Filed: October 3, 1996
    Date of Patent: January 5, 1999
    Assignee: Anelva Corporation
    Inventors: Ryoki Tobe, Atsushi Sekiguchi, Masao Sasaki
  • Patent number: 5853521
    Abstract: A multi-cathode electron beam plasma etcher is disclosed. The multi-cathode electron beam plasma etcher is comprised of: a vacuum chamber; several cathodes which are installed in the upper end of the upper part of the chamber and generates an electron beam in order to generate plasma in a large area; an acceleration electrode and a deceleration electrode which, form an acceleration and a deceleration tube structure in order to withdraw much of the electron beam, are arranged sequentially from the front of the cathode, and form an electrostatic lens when the differential voltage is applied respectively; first vacuum evacuation device to made the upper part of the chamber vacuum; an etching gas injection device which is installed in the side wall around the upper end of the lower part of the chamber; second vacuum evacuation device which is installed in the lower part of the chamber; and a holder of the etched body which is installed in the lower part of the chamber.
    Type: Grant
    Filed: January 16, 1996
    Date of Patent: December 29, 1998
    Assignees: Soosan Precision Co., Ltd., Korean Accelerator & Plasma Research Association
    Inventors: Kie-hyung Chung, Kil-ju Yun, Sang-young Kim, Tae-Young Kim
  • Patent number: 5853484
    Abstract: A gas distribution system for a chemical vapor deposition (CVD) apparatus includes a main gas supply pipe for receiving gas from a gas supply, a manifold communicated to the main gas supply pipe and having a plurality of sub-pipes, a plurality of gas metering valves provided at each of the sub-pipes so as to control gas amount flowing therein from each of the sub-pipes, a gas distribution head communicating with each of the sub-pipes so as to collectively jet gas therefrom, a control unit for outputting control signals to each of the gas control valves, and an N-point scanner electrically connected to input terminals of the control unit. The gas distribution system improves deposition uniformity by adjusting the thickness of a film deposited on a wafer in accordance with scanning information from the N-point scanner.
    Type: Grant
    Filed: October 9, 1996
    Date of Patent: December 29, 1998
    Assignee: LG Semicon Co., Ltd.
    Inventor: Kyung Cheol Jeong
  • Patent number: 5853523
    Abstract: A plasma-etching electrode plate in the form of flat glassy carbon plate is characterized by a flatness with a warp smaller than 0.3 mm. This flatness permits the electrode plate to have a uniform surface temperature distribution which contributes to uniform etching on semiconductor wafers. The electrode plate is a glassy carbon plate obtained from one or more thermosetting resins having a carbon yield higher than 20%.
    Type: Grant
    Filed: February 14, 1997
    Date of Patent: December 29, 1998
    Assignee: Tokai Carbon Company, Ltd.
    Inventors: Osamu Machida, Hisayuki Hamajima
  • Patent number: 5849136
    Abstract: A plasma process apparatus capable of operation significantly above 13.56 MHz can produce reduced self-bias voltage of the powered electrode to enable softer processes that do not damage thin layers that are increasingly becoming common in high speed and high density integrated circuits. A nonconventional match network is used to enable elimination of reflections at these higher frequencies. Automatic control of match network components enables the rf frequency to be adjusted to ignite the plasma and then to operate at a variable frequency selected to minimize process time without significant damage to the integrated circuit.
    Type: Grant
    Filed: November 22, 1996
    Date of Patent: December 15, 1998
    Assignee: Applied Materials, Inc.
    Inventors: Donald M. Mintz, Hiroji Hanawa, Sasson Somekh, Dan Maydan, Kenneth S. Collins
  • Patent number: 5849372
    Abstract: A plasma reactor includes a pair of parallel plate electrodes (1,2) one of which is grounded and the other driven, mounted within a chamber (8) which is connected via a port (8a) to a control device (16) for controlling the pressure of the gas within the chamber (8). The driven electrode (1) is connected to a voltage supply which has a driving frequency of 13.56 MHz, via an amplifier (9) and a superposed higher resonance frequency via a variable frequency power generator (11). With the plasma reactor sheath resonance in the glow discharge between the electrodes (1,2) can be generated to have a standing wave and thereby ensuring a greater coupling of the power in the system.
    Type: Grant
    Filed: March 18, 1996
    Date of Patent: December 15, 1998
    Assignee: Isis Innovation Limited
    Inventors: Beatrice Maria Annaratone, John Edward Allen
  • Patent number: 5846375
    Abstract: A temperature control system to selectively control the temperature of specific areas of the chuck or electrode plate upon which a wafer is mounted during plasma etching, chemical vapor deposition and other such temperature dependent processes for the purpose of ultimately controlling the temperature of the semiconductor wafer. The temperature control system includes a plurality of conduits arranged about the center of the chuck as a series of concentric radially adjacent loops. Each conduit is connected to its own inlet and outlet to allow a heating or cooling agent to flow independently through each conduit.
    Type: Grant
    Filed: September 26, 1996
    Date of Patent: December 8, 1998
    Assignee: Micron Technology, Inc.
    Inventors: Robin Gilchrist, Michael S. Wilhoit
  • Patent number: 5846329
    Abstract: A plasma processing apparatus has first and second electrodes disposed around a tubular chamber for generating a plasma. Each of the first and second electrodes comprises a plurality of web-shaped electrode segments spaced by a constant distant and disposed substantially halfway around the chamber. Each of the web-shaped electrode segments has opposite ends fastened to a pair of respective insulators disposed diametrically opposite to each other across the chamber. The web-shaped electrode segments of the first electrode are electrically connected to each other by a conductor on one of the insulators, and the web-shaped electrode segments of the second electrode are electrically connected to each other by a conductor on the other conductors. The first electrode is connected to a high-frequency power supply, and the second electrode is connected to ground.
    Type: Grant
    Filed: February 24, 1997
    Date of Patent: December 8, 1998
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Hisashi Hori, Kazuto Ohbuchi, Atsushi Matsushita, Kaoru Sakamoto
  • Patent number: 5846332
    Abstract: A substrate processing chamber, particularly a chemical vapor deposition (CVD) chamber used both for thermal deposition of a conductive material and a subsequently performed plasma process. The invention reduces thermal deposition of the conductive material on peripheral portions of the pedestal supporting a wafer and in a pumping channel exhausting the chamber. A peripheral ring placed on the pedestal, preferably also used to center the wafer, is thermally isolated from the pedestal so that its temperature is kept substantially lower than that of the wafer. Despite its thermal isolation, the peripheral ring is electrically connected to the pedestal to prevent arcing. The pumping channel is lined with various elements, some of which are electrically floating and which are designed so that conductive material deposited on these elements do not deleteriously affect a plasma generated for processing the wafer.
    Type: Grant
    Filed: July 12, 1996
    Date of Patent: December 8, 1998
    Assignee: Applied Materials, Inc.
    Inventors: Jun Zhao, Ashok Sinha, Avi Tepman, Mei Chang, Lee Luo, Alex Schreiber, Talex Sajoto, Stefan Wolff, Charles Dornfest, Michal Danek
  • Patent number: 5837093
    Abstract: Disclosed herein are a dry etching method and a dry etching apparatus. The method comprises a step of applying an etching inhibiting gas to that portion of a workpiece where etching speed is high, while the workpiece is being etched with reactive-gas plasma. The apparatus comprises functions for holding a reactive etching gas, a first electrode located within the gas-holding functions, for supporting a workpiece, a second electrode located within the gas-holding functions and spaced apart from the first electrode by a predetermined distance, functions for supplying high-frequency power, thereby to convert the reactive etching gas into a plasma in the space between the first and second electrodes, and functions for supplying an etching inhibiting gas to that portion of the workpiece where etching speed is high.
    Type: Grant
    Filed: February 6, 1995
    Date of Patent: November 17, 1998
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Makoto Hasegawa, Atsuo Sanda
  • Patent number: 5833752
    Abstract: An apparatus for facilitating plasma processing and in particular chemical plasma enhanced vapor deposition, plasma polymerization or plasma treatment of barrier materials onto the interior surface of containers barrier materials are useful for providing an effective barrier against gas and/or water permeability in containers and for extending shelf-life of containers, especially plastic evacuated blood collection devices.
    Type: Grant
    Filed: August 7, 1997
    Date of Patent: November 10, 1998
    Assignee: Becton, Dickinson & Company
    Inventor: David Alan Martin
  • Patent number: 5830808
    Abstract: The present invention ameliorates the problem in a plasma reactor of plasma attacking an electrostatic chuck and the wafer periphery backside by placing a magnet in the quartz wall adjacent the wafer peripheral edge.
    Type: Grant
    Filed: October 7, 1996
    Date of Patent: November 3, 1998
    Assignee: Applied Materials, Inc.
    Inventor: Robert A. Chapman
  • Patent number: 5820723
    Abstract: A vacuum processing chamber having a substrate support removably mounted therein. The chamber includes an opening in a sidewall thereof and the opening is large enough to allow the substrate support to be removed from the chamber through the opening. A modular mounting arrangement extends through the opening and removably supports the substrate support in the interior of the chamber at a position located inwardly of an inner sidewall of the chamber. The mounting arrangement includes a mounting flange and a support arm. The mounting flange is attached to an exterior surface of the chamber and the support arm extends between the substrate support and the mounting flange. The chamber includes a single vacuum port in a central portion of an endwall of the chamber spaced from the substrate support. The vacuum port is connected to a vacuum pump which removes gases from the interior of the chamber and maintains the chamber at a pressure below atmospheric pressure.
    Type: Grant
    Filed: June 5, 1996
    Date of Patent: October 13, 1998
    Assignee: Lam Research Corporation
    Inventors: Neil Benjamin, Jon Hylbert, Stefano Mangano
  • Patent number: 5820947
    Abstract: In a vapor phase apparatus such as a plasma chemical vapor deposition (CVD) having a pair of electrodes, a surface of one of the electrodes has an uneven shape (concave portion and convex portion). An interval between the electrodes is 10 mm or less. A density of a convex portion is increased in a center portion of the electrode. An aspect ratio of the uneven shape is increased from a peripheral portion of the electrode to a center portion of the electrode. The aspect ratio represents a ratio (b/a) of a pitch (a) and a height (b) of the convex portion.
    Type: Grant
    Filed: March 19, 1997
    Date of Patent: October 13, 1998
    Assignee: Semicondutor Energy Laboratory Co., Ltd.
    Inventor: Kenji Itoh
  • Patent number: 5810933
    Abstract: A wafer cooling device (WCD) for cooling a substrate, such as a wafer, during processing is presented. The substrate is mounted to an WCD heat transfer surface, thereby forming a cavity in between the substrate and the heat transfer surface into which gas is incorporated. An array of protuberances within the cavity provide support for the wafer. Contact heat conduction between the substrate and WCD is reduced by reducing the amount of direct contact between the substrate and WCD. Thus the heat transfer coefficient from the substrate, and hence substrate temperature, is controlled by adjusting the gas pressure in the cavity. In alternative embodiments, gas distribution channels are formed in the WCD heat transfer surface to increase gas pressure uniformity between the wafer and the WCD thus improving temperature uniformity across the substrate.
    Type: Grant
    Filed: February 16, 1996
    Date of Patent: September 22, 1998
    Assignee: Novellus Systems, Inc.
    Inventors: Thomas Mountsier, James Wing
  • Patent number: 5803973
    Abstract: A source of alternating current (3), is connected to two magnetron cathodes (4, 5), one pole (8) of the a.c. current source (4) being connected to one of the cathodes (4), while the other pole (9) is connected to the other cathode (5), each by its own power supply line (10, 11). Each of the two cathodes (4, 5) is installed in its own compartment (12, 13), the two compartments enclosing between them a third compartment (14), connected to a vacuum source (21). The two outside compartments (12, 13) are connected to each other by openings (15, 16) or gaps in the walls (17, 18) separating them, and the substrate (2) set up in the third compartment (14) facing a CVD source, which consists essentially of a reactive gas inlet (19) and a collimator (20).
    Type: Grant
    Filed: October 3, 1996
    Date of Patent: September 8, 1998
    Assignee: Balzers und Leybold Deutschland Holding AG
    Inventors: Joachim Szczyrbowski, Gotz Teschner
  • Patent number: 5804089
    Abstract: A plasma processing apparatus includes a vacuum container accommodating a to-be-processed substrate. A vacuum discharge device discharges gas from the container, and a gas feed device feeds a gas in the container. A pair of electrodes includes one which has a concave surface for holding the substrate thereon. A high frequency power supply device supplies a high frequency power to the electrodes, a gas feed device for filling between the substrate and the electrodes with an inert gas to cool the substrate, and a holding device for pressing a side end face of the substrate in a direction along a surface of the substrate to shape the substrate into a concave while holding the substrate on the concave surface of the electrode.
    Type: Grant
    Filed: October 30, 1995
    Date of Patent: September 8, 1998
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Masaki Suzuki, Shigeyuki Yamamoto
  • Patent number: 5800623
    Abstract: Premature equipment failure of susceptors used during plasma processing of semiconductor wafers is avoided by making the holes, characteristic of susceptors, such that the hole diameter is less that the susceptor thickness. In one embodiment, the susceptor includes a pattern of support struts which permits the planar top plate of the susceptor to be made quite thin and yet permits the diameter-to-thickness requirement to be met by aligning the pattern of holes with the pattern of support struts and having the holes penetrate the struts.
    Type: Grant
    Filed: July 18, 1996
    Date of Patent: September 1, 1998
    Assignee: Accord SEG, Inc.
    Inventor: Timothy Scott Dyer
  • Patent number: 5800617
    Abstract: A method for chemical vapor deposition onto high aspect ratio features. Process gases including a reactant species are supplied to the surface and sufficient primary energy is supplied to the surface so as to cause the reactant species to deposit on the surface. Additional energy is supplied, preferably in the form of optical energy, that is tuned to be captured by the patterned features so as to slow the deposition rate preferentially on the patterned features.
    Type: Grant
    Filed: April 29, 1997
    Date of Patent: September 1, 1998
    Assignee: Micron Technology, Inc.
    Inventor: Gurtej S. Sandhu
  • Patent number: 5800618
    Abstract: A plasma generating electrode device including a substrate 31 made of a dense ceramic, and an electrode 55 buried in said substrate 31, wherein said electrode 55 is isolated from a setting face of said substrate 31, and plasma is generated over said substrate. It is preferable that the minimum thickness of an electromagnetic wave permeation layer 37 is not less than 0.1 mm, the average thickness of the electromagnetic wave permeation layer is not less than 0.5 mm, the electrode 55 is a planar electrode made of a metal bulk, and the electrode is a monolithic sinter free from a joint face. This structure can be applied to an electric dust collector, an electromagnetic shield device or an electrostatic chuck. These can be preferably installed inside a semiconductor production unit using a halogen-based corrosive gas.
    Type: Grant
    Filed: July 18, 1995
    Date of Patent: September 1, 1998
    Assignee: NGK Insulators, Ltd.
    Inventors: Yusuke Niori, Koichi Umemoto, Ryusuke Ushikoshi
  • Patent number: 5798139
    Abstract: An apparatus for manufacturing a plastic container coated with carbon film, which comprises: an external electrode having a hollow space approximately similar to an external shape of the container which is accommodated in the hollow space; an insulating member for insulating the external electrode, a mouth of the container abutting against the insulating member when the container is accommodated in the hollow space of the external electrode; an internal electrode inserted through the mouth of the container into the container accommodated in the hollow space of the external electrode, the internal electrode being earthed; discharging means communicated with the hollow space of the external electrode to discharge air in the hollow space; feeding means for feeding raw gas into the container accommodated in the hollow space of the external electrode; and a high frequency electric source connected to the external electrode.
    Type: Grant
    Filed: April 25, 1997
    Date of Patent: August 25, 1998
    Assignees: Kirin Beer Kabushiki Kaisha, Kabushiki Kaisha Samco International Kenkyusho
    Inventors: Kazufumi Nagashima, Hideaki Shima
  • Patent number: 5795452
    Abstract: A dry process system comprising a chamber having an inlet for reaction gas and an exhaust port for exhaust gas, at least one pair of electrodes connected with an alternating current power source through a blocking capacitor, respectively, and one or more magnetic field applying means for generating a magnetic field nearly parallel to a surface of each electrode. The distance between adjacent electrodes is set to the extent that electrons can travel nearly without collision in the space between the adjacent electrodes. Since the distance between adjacent electrodes is narrow, one plasma generated in the neighborhood of one of the adjacent electrode and the other plasma generated in the neighborhood of another electrode can commingle with each other so that the distribution of plasma is made nearly equal, thus a nearly uniform plasma can be formed without a rotating magnetic field.
    Type: Grant
    Filed: January 11, 1996
    Date of Patent: August 18, 1998
    Assignee: Kokusai Electric Co., Ltd.
    Inventors: Haruhisa Kinoshita, Osamu Matsumoto, Harunobu Sakuma
  • Patent number: 5792269
    Abstract: A substrate processing system including a vacuum chamber; a pedestal which holds a substrate during processing; and a gas distribution structure which during processing is located adjacent to and distributes a process gas onto a surface of the substrate that is held on the pedestal for processing. The gas distribution structure includes a gas distribution faceplate including a plurality of gas distribution holes formed therethrough, wherein the holes of at least a first set of the plurality of holes pass through the faceplate at angles other than perpendicular to the surface of substrate.
    Type: Grant
    Filed: October 31, 1995
    Date of Patent: August 11, 1998
    Assignee: Applied Materials, Inc.
    Inventors: Thomas E. Deacon, David Cheung, Peter Wai-Man Lee, Judy H. Huang
  • Patent number: 5792376
    Abstract: A plasma processing apparatus includes a first electrode which is substantially flat and has a substrate mounting region mounted with a substrate to be treated, a chamber for containing the first electrode, gas introducing means for introducing a predetermined gas into the chamber, gas exhausting means for exhausting the gas from the chamber, a second electrode constituted of one of a metal portion of the chamber and a metal plate provided inside the chamber, power supply means for supplying high-frequency power between the first electrode and the second electrode, and an insulative cover for covering a surface of the first electrode other than the substrate mounting region. The substrate mounting region is formed as a convex portion on the first electrode, and an outside shape thereof is smaller than that of the substrate. The substrate is mounted on the substrate mounting region so as to completely cover the substrate mounting region.
    Type: Grant
    Filed: December 29, 1995
    Date of Patent: August 11, 1998
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hideki Kanai, Ikuo Yoneda, Masamitsu Itoh
  • Patent number: 5783023
    Abstract: A gas injector for use in a semiconductor etching process including a plurality of injecting holes on the central portion thereof, for injecting process gases, a plurality of bolt holes formed on edges of the gas injector, facewise against a gas supply for securing the gas injector, a plurality of bolt head-accommodating slots formed on a first gas injecting face, for receiving the heads of the bolts, and a second gas injecting disposed plurality of bolt head accommodating slots on a face opposite to the first gas injecting face, whereby the gas injector can be successively installed each side down and thus, reused after the side first disposed towards the semiconductor workpieces has become too etched to provide the desired injection pattern.
    Type: Grant
    Filed: September 24, 1996
    Date of Patent: July 21, 1998
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jae-young Oh, Suk-yong Jung, Han-sung Kim, Jin-ho Park
  • Patent number: 5779803
    Abstract: An apparatus for subjecting a semiconductor wafer having an uncovered marginal portion, from which a photoresist film is removed, to an anisotropic etching. The apparatus comprises a process chamber which can be set to a vacuum. Upper and lower electrodes opposite to each other are provided in the process chamber. An etching gas is made into plasma between these electrodes. An electrostatic chuck is arranged on the lower electrode. A wafer is mounted on the electrostatic chuck. A ring made of dielectric material, movable upward and downward, is arranged between the electrodes. A central portion of the ring is formed as a hood having a recessed shape corresponding to the marginal portion of the wafer. During the etching, the hood covers the marginal portion of the wafer under a plasma sheath, so as to be out of contact with the wafer, thereby preventing the marginal portion of the wafer from being etched.
    Type: Grant
    Filed: August 13, 1996
    Date of Patent: July 14, 1998
    Assignees: Tokyo Electron Limited, Tokyo Electron Yamanashi Limited
    Inventors: Yoichi Kurono, Shigeki Tozawa, Shozo Hosoda
  • Patent number: 5772770
    Abstract: A plasma CVD apparatus comprises an outer chamber having an exhaust hole, an inner chamber disposed in the outer chamber, a reactive gas inlet pipe communicating with the inner chamber, a first exhaust pipe disposed so as to communicate with the inner chamber, the first exhaust pipe extending at least to an inner wall surface of the outer chamber, and a second exhaust pipe communicating with the exhaust hole. Preferably the forward end of the first exhaust pipe is inserted into the exhaust hole, the forward end of the first exhaust pipe projects outward beyond the inner wall surface of the outer chamber, and a spacing is formed between the first exhaust pipe and the exhaust hole. The reactive gases flow into the inner chamber through the reactive gas inlet pipe and directly flow out of the outer chamber through the first exhaust pipe, thereby preventing the reactive gases from flowing into the outer chamber.
    Type: Grant
    Filed: January 25, 1996
    Date of Patent: June 30, 1998
    Assignee: Kokusai Electric Co, Ltd.
    Inventors: Atsuhiko Suda, Satohiro Okayama
  • Patent number: 5766362
    Abstract: The invention relates to an apparatus for use in a Plasma Enhanced Chemical Vapor Deposition (PECVD) process whereby a coating of inorganic material is deposited on three-dimensional articles, including low melting temperature polymer articles. The coating possesses excellent gas and/or water vapor barrier properties.
    Type: Grant
    Filed: September 30, 1996
    Date of Patent: June 16, 1998
    Assignee: Becton Dickinson and Company
    Inventor: David B. Montgomery
  • Patent number: 5766404
    Abstract: Methods and apparatus for plasma treatment of workpieces in which the apparatus includes a reaction chamber having a door through which a magazine loaded with workpieces and an empty magazine can be manually or robotically placed on a shelf in the reaction chamber, and associated apparatus for striking and maintaining a plasma in the reaction chamber, the shelf being provided with stops for maintaining both magazines in alignment so that the workpieces can be pushed out of the loaded magazine, across a gap between the magazines, and into the empty magazine while plasma exists in the gap, and pushing apparatus for pushing workpieces from the loaded magazine, across the gap, and into the empty magazine, and the method of plasma treatment carried out by this apparatus. In one version the pushing apparatus pushes all of the workpieces from magazine to magazine at once. In another version, a subplurality of the workpieces in the loaded magazine is pushed across the gap and into the empty magazine at one time.
    Type: Grant
    Filed: December 5, 1995
    Date of Patent: June 16, 1998
    Assignee: March Instruments, Inc.
    Inventors: Louis A. Rigali, David E. Hoffman, William F. Smith, III
  • Patent number: 5762714
    Abstract: A plasma guard member that has the configuration of a flat concentric ring is used in a vacuum process chamber equipped with a plasma reaction chamber, a plasma source and a lower chamber which houses an electrostatic chuck for preventing charged particles from drifting or diffusing to the lower chamber and contact the electrostatic chuck such that the substrate holding capability of the chuck is not adversely affected.
    Type: Grant
    Filed: October 18, 1994
    Date of Patent: June 9, 1998
    Assignee: Applied Materials, Inc.
    Inventors: Jon Mohn, Joshua Chiu-Wing Tsui, Kenneth S. Collins
  • Patent number: 5762750
    Abstract: A surface cleaning apparatus using magnetic neutral line discharged plasma for the purpose of inner-wall surface cleaning of a vacuum vessel assembled in a semiconductor manufacturing machine, which comprises electromagnetic coils for producing a closed magnetic neutral line that is formed by circularly connecting points of zero-intensity magnetic field, rf electric field generator for continuously generating plasma by applying a rf electric field along the magnetic neutral line and a controller for controlling the size and position of the closed magnetic neutral line and the kind, temperature and density of the plasma being generated.
    Type: Grant
    Filed: May 6, 1997
    Date of Patent: June 9, 1998
    Assignee: Nihon Shinku Gijutsu Kabushiki Kaisha
    Inventors: Wei Chen, Takeshi Sunada, Masahiro Itoh, Hideki Fujimoto, Taijiro Uchida
  • Patent number: 5759282
    Abstract: A process for depositing a layer of uniform thickness on an uneven surface of a substrate is disclosed. The layer could be deposited by plasma or chemical vapor deposition (CVD). The uneven surface of the substrate has horizontal surfaces and vertical sidewalls and is located on a movable platform. The platform is tilted and rotated as the layer is deposited so that the ions or the flow of chemical vapor reaches the horizontal surface and the sidewall at a similar incident angle. Thereby, the layer is evenly deposited and has a uniform thickness with proper coverage and planarization.
    Type: Grant
    Filed: February 4, 1997
    Date of Patent: June 2, 1998
    Assignee: United Microelectronics Corporation
    Inventor: Ming-Tzung Yang