Having Glow Discharge Electrodes (e.g., Dc, Ac, Rf, Etc.) Patents (Class 118/723E)
  • Patent number: 6051285
    Abstract: A plasma CVD is provided for film formation in which a support member is sufficiently cooled so as to suppress thermal deformation and abnormal discharge, thus enabling to preferably carry out the film formation.After a metal thin film is formed on a tape-shaped non-magnetic support member, the non-magnetic support member is made to travel continuously along a cooling can wile a thin film is formed on the metal thin film by way of the plasma CVD method, wherein the cooling can includes a metal can 12 having an outer circumferential surface which is entirely covered by an insulating layer 15 formed by a ceramic having a thickness of 0.3 to 1.0 mm.
    Type: Grant
    Filed: June 5, 1998
    Date of Patent: April 18, 2000
    Assignee: Sony Corporation
    Inventor: Yasunori Kin
  • Patent number: 6050217
    Abstract: A parallel plate plasma CVD apparatus comprises a radio-frequency generator; a reaction chamber; a bottom electrode provided in the reaction chamber and grounded; a top electrode provided opposite to the bottom electrode in the reaction chamber and connected to the radio-frequency generator; a mesh electrode provided between the bottom electrode and the top electrode in the reaction chamber; and one of a resistor and a capacitor electrically connected between the bottom electrode and the mesh electrode.
    Type: Grant
    Filed: August 26, 1998
    Date of Patent: April 18, 2000
    Assignee: Murata Manufacturing Co., Ltd.
    Inventor: Xiao-min Li
  • Patent number: 6051284
    Abstract: A method and apparatus for monitoring a parameter of the RF power applied to a plasma-enhanced chemical vapor deposition (PECVD) chamber. The parameter is used to monitor an aspect of the chamber or a process in the chamber. In particular, the parameter can be used to determine whether the susceptor is properly aligned, determine the spacing of the susceptor from the gas discharge head, determine whether the wafer is properly aligned on the susceptor, determine whether there has been any deterioration of the susceptor or the gas discharge head, and determine whether a chamber clean operation is complete.
    Type: Grant
    Filed: May 8, 1996
    Date of Patent: April 18, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Joshua Byrne, Tirunelveli S. Ravi, Martin Seamons, Eric Hanson
  • Patent number: 6051120
    Abstract: There is provided a thin film forming apparatus in which plasma of high frequency is made of raw material gas in a film forming chamber 7, a thin film is formed on a surface of a substrate 12 in the film forming chamber 7 by the plasma of high frequency, and a characteristic of the thin film is controlled by irradiating ion beams 4 onto the surface of the substrate 12 at the same time, characterized in that: the substrate 12 is composed of a square plate having a regular square surface or a rectangular surface; and the thin film forming apparatus is provided with a high frequency electrode 13 for forming the plasma of high frequency into a cube or a rectangular parallelepiped to cover an overall surface of the substrate 12, on the surface side of the substrate 12.
    Type: Grant
    Filed: November 24, 1998
    Date of Patent: April 18, 2000
    Assignee: Nissin Electric Co., Ltd.
    Inventors: Shigeaki Kishida, Takashi Mikami, Hiroya Kirimura, Kiyoshi Ogata
  • Patent number: 6051100
    Abstract: Plasma containment is achieved within a region by a containment plate while gas is allowed to flow through this region by openings in the plate. The openings in the plate are larger in two of the cross-sectional dimensions parallel to the plate surface than the thickness of the dark space or plasma sheath. This plasma containment plate allows high conductance for conditions including those of long molecular mean free path and thick material deposits on the interior chamber of the plasma reactor.
    Type: Grant
    Filed: October 24, 1997
    Date of Patent: April 18, 2000
    Assignee: International Business Machines Corporation
    Inventor: Joseph Philip Walko, II
  • Patent number: 6051073
    Abstract: A plasma treatment system (200) for implantation with a novel susceptor with a perforated shield (201). The system (200) has a variety of elements such as a chamber in which a plasma is generated in the chamber. The system (200) also has a susceptor disposed in the chamber to support a silicon substrate, which has a surface. The perforated shield (201) draws ions from the implantation toward and through the shield to improve implant uniformity in the substrate. In a specific embodiment, the chamber has a plurality of substantially planar rf transparent windows (26) on a surface of the chamber. The system (200) also has an rf generator (66) and at least two rf sources in other embodiments.
    Type: Grant
    Filed: June 3, 1998
    Date of Patent: April 18, 2000
    Assignee: Silicon Genesis Corporation
    Inventors: Paul K. Chu, Chung Chan
  • Patent number: 6044792
    Abstract: A continuous plasma CVD apparatus, characterized in that frequency of high-frequency bias is in the range of 50-900 KHz, a blocking condenser is provided between a thin film and a high-frequency source so that the product C.multidot.f of electrostatic capacity C of the blocking condenser and frequency f of the high-frequency source is 0.02 [F.multidot.Hz] or more, and the total of impedances of all the rollers provided in the route of from a substrate unwind roller to a rotating drum is 10 k.OMEGA. or more and the total of impedances of all the rollers provided in the route of from the rotating drum to a wind roller is 10 k.OMEGA. or more. According to this apparatus, it becomes possible to continuously form a film without causing damage and deterioration of the substrate.
    Type: Grant
    Filed: September 10, 1997
    Date of Patent: April 4, 2000
    Assignee: Hitachi Maxwell, Ltd.
    Inventors: Yoichi Ogawa, Tetsuo Mizumura, Akira Yano, Hideo Kusada, Takashi Kubota, Michio Asano, Kunio Wakai
  • Patent number: 6041734
    Abstract: A substrate processing system that includes a deposition chamber having a reaction zone, first and second electrodes, a mixed frequency RF power supply including a low frequency RF power source and a high frequency RF power source. The high frequency RF power supply provides enough power to form a plasma from a process gas introduced into the reaction zone and the low frequency RF power supply is configured to supply an asymmetrical waveform to either said first or second electrodes to bias the plasma toward the substrate.
    Type: Grant
    Filed: December 1, 1997
    Date of Patent: March 28, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Sebastien Raoux, Mandar Mudholkar
  • Patent number: 6042686
    Abstract: A power segmented electrode useful as part of an upper electrode and/or substrate support for supporting a substrate such as a semiconductor wafer in a plasma reaction chamber such as a single wafer etcher. The power segmented electrode includes a plurality of electrodes which are supplied radiofrequency power in a manner which provides uniform processing of the substrate. The power to the electrodes can be supplied through a circuit incorporating interelectrode gap capacitance, one or more variable capacitors, one or more current sensors, a power splitter, one or more DC biasing sources, and/or power amplifier.
    Type: Grant
    Filed: June 30, 1995
    Date of Patent: March 28, 2000
    Assignee: Lam Research Corporation
    Inventors: Robert D. Dible, Eric H. Lenz, Albert M. Lambson
  • Patent number: 6041733
    Abstract: A plasma processing apparatus such as a plasma etching apparatus, which is not subject to arcing to the gas distributor plate which is caused by secondary potentials generated by polymers adhering to a gas distribution plate. The gas distribution plate is electrically isolated from the ground electric potential, and does not have any polarity. The gas distribution plate may be formed of an insulating material. Furthermore, a support plate may be adapted to fix the gas distribution plate to a chamber of the apparatus in such a manner that the gas distribution plate is detachably coupled with the support plate. Thereby, it is easier to separate the gas distribution plate from the apparatus to remove the accumulated polymers during the plasma process.
    Type: Grant
    Filed: October 24, 1997
    Date of Patent: March 28, 2000
    Assignee: Samsung Electronics, Co., Ltd.
    Inventors: Chang-sik Kim, Jin-ho Park, Kyeong-seob Moon, Young-ho Seo, Tae-hyung Lim, Byung-mook Choi, Ju-ho Kim
  • Patent number: 6039849
    Abstract: An apparatus (10) such as a plasma sputtering tool has a reaction chamber (11) containing a sputtering target (12) and a semiconductor substrate (13). The apparatus (10) also has a plasma ignitor (70) that is used to excite the gas molecules in the reaction chamber (11) and help generate a plasma in the reaction chamber (11). The plasma ignitor (70) has a relay (59) that is used to activate or deactivate filaments (51,52) at the appropriate times to initiate the formation of a plasma in the reaction chamber (11).
    Type: Grant
    Filed: October 28, 1997
    Date of Patent: March 21, 2000
    Assignee: Motorola, Inc.
    Inventors: Jeffrey R. Paulson, Arturo Fonda
  • Patent number: 6039000
    Abstract: Particle beam systems and methods for interacting with a workpiece according to this invention include a work stage assembly and a first particle beam source. The work stage assembly is adapted a) for supporting a workpiece, b) for translating along a first axis, c) for translating along a second axis perpendicular to the first axis, and d) for rotating about a third axis perpendicular to both the first axis and the second axis. The work stage assembly has a work stage axis substantially parallel to the third axis. The first particle beam source for interacting with the workpiece is supported by the work stage assembly. The first particle beam source has a first particle beam axis. In one embodiment, the first particle beam source is oriented so that the first particle beam axis forms an angle with the third axis.
    Type: Grant
    Filed: February 11, 1998
    Date of Patent: March 21, 2000
    Assignee: Micrion Corporation
    Inventors: Charles J. Libby, Billy W. Ward
  • Patent number: 6039836
    Abstract: An improved focus ring is configured for use in a plasma processing chamber. The focus ring is configured to overlap at least a portion of a substrate-holding chuck that is powered by radio frequency (RF) power during plasma operation to act as an electrode. The focus ring includes an upper surface that is exposed to a plasma region within the plasma processing chamber during the plasma operation. The focus ring further includes a chuck-overlapping portion that overlaps the portion of the substrate-holding chuck, at least a portion of the chuck-overlapping portion being formed of a first material having a lower dielectric constant than a remainder of the focus ring.
    Type: Grant
    Filed: December 19, 1997
    Date of Patent: March 21, 2000
    Assignee: LAM Research Corporation
    Inventors: Rajinder Dhindsa, Vikram Singh, Ken Tokunaga
  • Patent number: 6035803
    Abstract: A process for depositing a dielectric film having a reduced dielectric constant and desirable gap-fill characteristics, at an acceptable deposition rate is disclosed. A filmed deposited according to the present invention possesses acceptable stability, and avoids outgassing of the halogen dopant while resisting shrinkage.A carbon-based dielectric film is deposited on a substrate in a processing chamber by first flowing a process gas into the processing chamber. The process gas includes a gaseous source of carbon (such as methane (CH.sub.4)) and a gaseous source of a halogen (such as a source of fluorine (e.g., C.sub.4 F.sub.8)). A plasma is then formed from the process gas by applying a first and a second RF power component. Preferably, the second RF component has a frequency of between about 200 kHz and 2 MHz and a power level of between about 5 W and 75 W. The first and a second RF power components are applied for a period of time to deposit a halogen-doped carbon-based layer.
    Type: Grant
    Filed: September 29, 1997
    Date of Patent: March 14, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Stuardo Robles, Wai-Fan Yau, Ping Xu, Kaushal Singh
  • Patent number: 6037016
    Abstract: A process of coating a non-conductive fiber with diamond-like carbon, including passing a non-conductive fiber between a pair of parallel metal grids within a reaction chamber, introducing a hydrocarbon gas into the reaction chamber, forming a plasma within the reaction chamber for a sufficient period of time whereby diamond-like carbon is formed upon the non-conductive fiber, is provided together with a reactor chamber for deposition of diamond-like carbon upon a non-conductive fiber, including a vacuum chamber, a cathode assembly including a pair of electrically isolated opposingly parallel metal grids spaced apart at a distance of less than about 1 centimeter, an anode, a means of introducing a hydrocarbon gas into said vacuum chamber, and a means of generating a plasma within said vacuum chamber.
    Type: Grant
    Filed: May 29, 1998
    Date of Patent: March 14, 2000
    Assignees: E.I. du Pont de Nemours and Company, Regents of the University of California
    Inventors: David James Devlin, Don Mayo Coates, Thomas Arthur Archuleta, Robert Steven Barbero
  • Patent number: 6035805
    Abstract: A method and apparatus for vacuum depositing a coating onto a substrate are provided. The method includes the steps of: introducing an evaporant into a magnetically defined deposition region of a vacuum process chamber, ionizing the evaporant to form a plasma; generating a "magnetic bottle" magnetic field configuration to define the deposition region and to confine the plasma to the deposition region, further increasing the percentage ionization of the plasma to form a highly ionized media; creating a static dc electric field that is generally perpendicular to the magnetic field in the deposition region and parallel to the plane of the substrate; and then moving the substrate through the highly ionized media with the plane of the substrate and its direction of motion generally parallel to the magnetic field lines. The method of the invention is particularly suited to deposition of any atomistic evaporant onto intermediate-sized substrates.
    Type: Grant
    Filed: November 23, 1998
    Date of Patent: March 14, 2000
    Assignee: Depositech, Inc.
    Inventor: Ray Dean Rust
  • Patent number: 6035804
    Abstract: A process chamber apparatus includes a process chamber for processing a target such as a semiconductor wafer contained therein. The chamber includes an upper process chamber section having an annular contact surface at a lower end thereof and a lower process chamber section having an annular contact surface at an upper end thereof. A support mechanism supports the process chamber such that the lower section is movable against the upper section in a lateral direction between a process position where both sections are combined with each other and a separation position where both of the sections are separated apart from each other in a lateral direction. The contact surfaces of the upper and lower process chamber sections are inclined with respect to a plane including a movement direction of the lower process chamber section and are brought into contact with each other throughout entire circumferences of the contact surfaces at the process position.
    Type: Grant
    Filed: November 5, 1998
    Date of Patent: March 14, 2000
    Assignee: Tokyo Electron Limited
    Inventors: Junichi Arami, Shosuke Endo
  • Patent number: 6033586
    Abstract: Front and reverse surfaces of a workpiece are treated with plasma simultaneously while the workpiece is arranged between electrodes in such a manner that one of the electrode is opposite to the front surface, and another of the electrodes is opposite to the back surface, and a voltage supplied to each of the electrodes is changed frequently.
    Type: Grant
    Filed: July 10, 1997
    Date of Patent: March 7, 2000
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Isamu Morisako
  • Patent number: 6033481
    Abstract: A plasma processing apparatus in which power consumption is reduced, which can generate uniform plasma in a large range and in which minute processing in high etching selectivity and in high aspect ratio is enabled is disclosed. High density plasma is generated in a vacuum vessel housing a processed sample utilizing an electron cyclotron resonance phenomenon caused by an electromagnetic wave in an ultra-high frequency band and a magnetic field and the surface of the processed sample is etched using this plasma. An electromagnetic wave in an ultra-high frequency band for generating plasma is radiated from a planar conductive plate consisting of graphite or silicon which is arranged opposite to the surface of the processed sample into space inside the vacuum vessel. High density plasma in the low degree of dissociation can be generated by using an electromagnetic wave in an ultra-high frequency band and as a result, the controllability of etching reaction can be enhanced.
    Type: Grant
    Filed: January 6, 1999
    Date of Patent: March 7, 2000
    Assignee: Hitachi, Ltd.
    Inventors: Ken'etsu Yokogawa, Tetsuo Ono, Kazunori Tsujimoto, Naoshi Itabashi, Masahito Mori, Shinichi Tachi, Keizo Suzuki
  • Patent number: 6030456
    Abstract: An installation can adjust gas supply in a reaction chamber according to the conditions in the reaction chamber. The installation comprises sensors, a gas-supplying panel and a driving device. The sensors are located in the reaction chamber to sense the conditions in the reaction chamber. The gas-supplying panel has a plurality of apertures, which are asymmetrically located, through which apertures gas is supplied. The driving device, coupled to the sensors and the gas-supplying panel, drives the gas-supplying panel to respond to the conditions sensed by the sensors, in which the gas-supplying panel can adjust the positions of the gas supplied through the apertures.
    Type: Grant
    Filed: November 13, 1998
    Date of Patent: February 29, 2000
    Assignee: Winbond Electronics Corp.
    Inventor: An-Chun Tu
  • Patent number: 6030489
    Abstract: A method and apparatus to improve process control during plasma etching of semiconductor substrates. Improvements are directed towards controlling the rate of etching when using consumable electrodes. Consumable electrode materials are used to increase selectivity in certain plasma etching processes as in via. contact. or in SOG etch. A consumable electrode material has a significant effect on processing time due to changing gap dimension between electrodes. This invention teaches how to adjust for process variables by using feedback from two strategically placed pressure manometers.
    Type: Grant
    Filed: July 1, 1999
    Date of Patent: February 29, 2000
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Yuan-Ko Hwang
  • Patent number: 6030486
    Abstract: The invention confines the plasma within the chamber without relying entirely on the chamber walls by introducing a magnetic field across an area or plane through which plasma flow is to be stopped. For example, in order to prevent plasma from leaking or flowing through openings necessarily provided the chamber walls, a magnetic field is established at the entrance of the reactor chamber to such an opening, by placing a pair of opposing magnetic poles across the opening, for example. The magnetic field is sufficiently strong to prevent plasma leaking through the opening.
    Type: Grant
    Filed: December 16, 1996
    Date of Patent: February 29, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Peter K. Loewenhardt, Gerald Zheyao Yin, Philip M. Salzman
  • Patent number: 6027604
    Abstract: A dry etching apparatus is capable of suppressing formation of reaction products (i.e., polymers) in an etching chamber. A gas supply is connected to the top of the etching chamber by a first gas duct, and a pump is connected to the bottom of the etching chamber by a second gas duct. An upper electrode is furnished in the etching chamber. At a location opposed to the upper electrode, a lower electrode is furnished. Insulation plates of the upper and the lower electrodes, or an insulation plate of either of the upper or the lower electrode, include a plurality of grooves. The etching chamber may include a plurality of grooves and projections on its sidewalls. The plurality of projections in the sidewalls has a semicircular or a rectangular cross-section, and may be formed as a single body or as individually detachable projection bodies.
    Type: Grant
    Filed: May 6, 1998
    Date of Patent: February 22, 2000
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Joung-hyun Lim, Hee-Sun Chae
  • Patent number: 6026764
    Abstract: An improved apparatus for a lower pressure chemical vapor deposition capable of achieving various kinds of thin films having a uniform thickness, preventing parts breakage, achieving automation of the system, and combining the use of a low pressure chemical vapor deposition apparatus and a plasma low pressure chemical vapor deposition apparatus, which includes a deposition base; a reactor disposed on the deposition base and having a reaction region formed therein; a substrate lifted and lowered in the reactor and on which a wafer is placed; a chemical source gas introducer for introducing a chemical source gas into the reactor; a substrate heating member disposed in the substrate for heating the wafer; and a reactor heating member for heating the reactor.
    Type: Grant
    Filed: July 6, 1999
    Date of Patent: February 22, 2000
    Inventor: Chul-Ju Hwang
  • Patent number: 6024826
    Abstract: A general method of the invention is to provide a polymer-hardening precursor piece (such as silicon, carbon, silicon carbide or silicon nitride, but preferably silicon) within the reactor chamber during an etch process with a fluoro-carbon or fluoro-hydrocarbon gas, and to heat the polymer-hardening precursor piece above the polymerization temperature sufficiently to achieve a desired increase in oxide-to-silicon etch selectivity. Generally, this polymer-hardening precursor or silicon piece may be an integral part of the reactor chamber walls and/or ceiling or a separate, expendable and quickly removable piece, and the heating/cooling apparatus may be of any suitable type including apparatus which conductively or remotely heats the silicon piece.
    Type: Grant
    Filed: October 23, 1996
    Date of Patent: February 15, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Kenneth Collins, Michael Rice, David Groechel, Gerald Yin, Jon Mohn, Craig Roderick, Douglas Buchberger, Chan-Lon Yang, Jerry Wong, Jeffrey Marks, Peter Keswick
  • Patent number: 6024044
    Abstract: An apparatus deposits a high quality film onto a transparent substrate in a reactor. The transparent substrate may be made of glass, quartz or a polymer such as plastic. The transparent substrate is heated in a process chamber and a process gas stream is introduced into the process chamber. The apparatus generates a high frequency power output and a low frequency power output from a high frequency power supply and a low frequency power supply, respectively. The high frequency power output is generated at a frequency of about thirteen megahertz or more, and at a power from about one to five kilowatts, while the low frequency power output is generated at a frequency of about two megahertz or less, and at a power from about 300 to two kilowatts. The high frequency power output and the low frequency power output are superimposed and used to excite a plasma from the process gas stream at a pressure between about 0.4 Torr and 3 Torr, and at a temperature between about 250.degree. C. and 450.degree. C.
    Type: Grant
    Filed: October 9, 1997
    Date of Patent: February 15, 2000
    Assignee: Applied Komatsu Technology, Inc.
    Inventors: Kam S. Law, Robert M. Robertson, Quanyuan Shang, Jeff Olsen, Carl Sorensen
  • Patent number: 6021737
    Abstract: A plasma beam is directed towards a hearth to flow electric current of the plasma through the hearth during formation of a thin film on a substrate. The plasma beam is directed towards an auxiliary anode to flow electric current of the plasma through the auxiliary anode during the period after completion of the formation of the thin film on the substrate and before beginning of the formation of a thin film on the subsequent substrate.
    Type: Grant
    Filed: December 5, 1997
    Date of Patent: February 8, 2000
    Assignee: Sumitomo Heavy Industries, Ltd.
    Inventors: Toshiyuki Sakemi, Masaru Tanaka
  • Patent number: 6017396
    Abstract: A film formation device for forming a film on a substrate according to the present invention includes: a plurality of vacuum chambers, each of the plurality of vacuum chambers including a gas introduction section for introducing a reactive gas, a plasma generation section for generating a plasma, and a support member for supporting the substrate. The plasma generation section in at least one of the plurality of vacuum chambers is disposed at a predetermined position for preventing the substrate from sustaining substantial irradiation damage by the generated plasma.
    Type: Grant
    Filed: August 2, 1996
    Date of Patent: January 25, 2000
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Tetsuya Okamoto
  • Patent number: 6014943
    Abstract: A plasma process device includes a process vessel having a plasma generating area therein, a susceptor provided in the process vessel for supporting a substrate having a process surface, and a gas inlet means for introducing a process gas into the plasma generating area. A dipole ring magnet is arranged around the outer periphery of the process vessel, for generating a magnetic field having a magnetic line of force in the plasma generating area, so that a plasma of the process gas is generated in the plasma generating area. The dipole ring magnet has a plurality of anisotropic segment magnets arranged on an oval track, which are cylindrical permanent magnets having the same shape and size and magnetized in the diameter direction.
    Type: Grant
    Filed: September 11, 1997
    Date of Patent: January 18, 2000
    Assignees: Tokyo Electron Limited, Shin-Etsu Chemical Co., Ltd.
    Inventors: Junichi Arami, Hiroo Ono, Tomomi Kondo, Koji Miyata
  • Patent number: 6015476
    Abstract: The invention is embodied in a magnetically enhanced plasma reactor for processing a semiconductor workpiece, including a reactor enclosure defining a vacuum chamber, a wafer support for holding the workpiece inside the chamber, a plasma power source for applying plasma source power into the chamber, a first plurality of electrically conductive elongate filaments, each being of a finite length, distributed about a periphery of the chamber enclosure, each of said filaments extending at least generally in an axial direction relative to the chamber. The plurality of filaments is capable of permitting different currents through different ones of at least some of the filaments in accordance with a distribution of currents among the filaments corresponding to a desired magnetic field configuration. Respective current sources are preferably connected to deliver respective currents to different ones of the plurality of filaments.
    Type: Grant
    Filed: February 5, 1998
    Date of Patent: January 18, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Ross D. Schlueter, Steve Marks
  • Patent number: 6009827
    Abstract: A method and apparatus for ramping down the deposition pressure in a SACVD process. The present invention also provides a method and apparatus for subsequently ramping up the pressure for a PECVD process in such a manner as to prevent unwanted reactions which could form a weak interlayer interface. In particular, the deposition pressure in the SACVD process is ramped down by stopping the flow of the silicon containing gas (preferably TEOS) and/or the carrier gas (preferably helium), while diluting the flow of ozone with oxygen. A ramp down of the pressure starts at the same time. The diluting of the ozone with oxygen limits reactions with undesired reactants at the end of a process.
    Type: Grant
    Filed: November 5, 1996
    Date of Patent: January 4, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Stuardo Robles, Visweswaren Sivaramakrishnan, Maria Galiano, Victoria Kithcart
  • Patent number: 6009831
    Abstract: An improved apparatus for a lower pressure chemical vapor deposition capable of achieving various kinds of thin films having a uniform thickness, preventing parts breakage, achieving automation of the system, and combining the use of a low pressure chemical vapor deposition apparatus and a plasma low pressure chemical vapor deposition apparatus, which includes a deposition base; a reactor disposed on the deposition base and having a reaction region formed therein; a substrate lifted and lowered in the reactor and on which a wafer is placed; a chemical source gas introducer for introducing a chemical source gas into the reactor; a substrate heating member disposed in the substrate for heating the wafer; and a reactor heating member for heating the reactor.
    Type: Grant
    Filed: July 6, 1999
    Date of Patent: January 4, 2000
    Inventor: Chul-Ju Hwang
  • Patent number: 6010636
    Abstract: An improved anode design, incorporating domes, for plasma reactors enhances plasma density at the anode. The domes give rise to a high-divergence, three-dimensional electric field distribution that accelerates electrons to a focused central region in the dome, thereby increasing ionization and dissociation. The enhanced plasma density increases the reaction rate at a substrate opposite the anode.
    Type: Grant
    Filed: December 29, 1995
    Date of Patent: January 4, 2000
    Assignee: Lam Research Corporation
    Inventors: John F. Donohue, Al Sampson
  • Patent number: 6009830
    Abstract: A plasma etch reactor having independent gas feeds above the wafer and either at the sides or below the wafer. Preferably, a carrier gas such as argon is supplied from a showerhead electrode above the wafer while an etching gas is supplied from below. In the case of selectively etching an oxide over a non-oxide layer, the etchant gas should include one or more fluorocarbons.
    Type: Grant
    Filed: November 21, 1997
    Date of Patent: January 4, 2000
    Assignee: Applied Materials Inc.
    Inventors: Haojiang Li, Robert W. Wu
  • Patent number: 6009828
    Abstract: A method for producing a thin semiconductor film according to the present invention includes the steps of: placing a group-IV compound or a derivative thereof in a plasma state; decomposing the group-IV compound or the derivative thereof into active species; and depositing the active species on a substrate, wherein energy for generating plasma is intermittently supplied at a supply time interval which is equal to or less than a reciprocal of {(secondary reaction rate constant of a source gas reacting with active species other than long-life active species within the plasma).times.(number of source gas molecules)}.
    Type: Grant
    Filed: January 10, 1997
    Date of Patent: January 4, 2000
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Takashi Tomita, Katsuhiko Nomoto, Yoshihiro Yamamoto, Hitoshi Sannomiya, Sae Takagi
  • Patent number: 6007672
    Abstract: Disclosed is an electrode for parallel plate type plasma etching, which is capable of suppressing the generation of dust. The electrode has a flatness in a range of from 0.001 to 5 mm and has a (positive) camber that the front surface side of the electrode is recessed and the back surface side thereof projects.
    Type: Grant
    Filed: April 18, 1997
    Date of Patent: December 28, 1999
    Assignee: Nisshinbo Industries, Inc.
    Inventors: Kazuo Saito, Yasushi Mochizuki, Akira Yamaguchi
  • Patent number: 6008130
    Abstract: A plasma confinement ring comprising a first generally planar surface; a second generally planar surface; an aperture extending between the first and second surfaces, the aperture including an annular surface, and a curved surface extending between the annular surface and the first planar surface.
    Type: Grant
    Filed: August 14, 1997
    Date of Patent: December 28, 1999
    Assignee: VLSI Technology, Inc.
    Inventors: David E. Henderson, Ian Harvey
  • Patent number: 6007675
    Abstract: An apparatus and method are described for stripping the photoresist from a wafer while in a substantially parallel manner, another wafer is being transferred between a load lock chamber and a transfer chamber, where the processing occurs. Further, a system is described whereby two load lock chambers are employed so that processing of wafers can continue uninterrupted by a delay caused by the need to open, empty, reload and re-equilibrate a single load lock chamber. Still further, a system is described for performing multi-step dry-stripping applications requiring different conditions for two or more of the steps wherein the steps may be performed simultaneously or sequentially. Finally, a system combining a dry-stripping module and a wet-cleaning module is described which combination system permits the continuous, fully-automated dry-stripping and wet-cleaning of wafers and, upon completion of the entire processing cycle, returning wafers to their original wafer cassettes.
    Type: Grant
    Filed: December 1, 1997
    Date of Patent: December 28, 1999
    Assignee: Gamma Precision Technology, Inc.
    Inventor: Masato Toshima
  • Patent number: 6000360
    Abstract: The plasma processing apparatus according to the present invention comprises a processing chamber in which an object to be processed is processed, a lower electrode provided at a lower portion in the processing chamber, having a mount surface where the object is mounted, and applied with a bias voltage, gas introduce tube for introducing a processing gas into the processing chamber, an upper electrode provided fixedly on a roof portion of the processing chamber and supplied with a high-frequency power, to form plasma from the processing gas in the processing chamber, and a magnetic field formation portion provided fixedly on the roof portion of the processing chamber, for forming a magnetic field in the processing chamber.
    Type: Grant
    Filed: July 2, 1997
    Date of Patent: December 14, 1999
    Assignee: Tokyo Electron Limited
    Inventor: Chishio Koshimizu
  • Patent number: 6001432
    Abstract: An apparatus for forming films on a substrate is described. The apparatus comprises a vacuum chamber, an evacuating system connected to the vacuum chamber for maintaining a predetermined pressure in the vacuum chamber, a power supply for generating electric energy at high frequencies, a first electrode disposed in the vacuum chamber and connected to the power supply for receiving the electric energy as a cathode, the substrate to be coated being mounted on the first electrode, a second electrode disposed in the vacuum chamber and grounded as an anode, an electric discharging region being formed between the first and second electrodes in order to generate a plasma region therebetween, and a gas feeding system connected to the vacuum chamber for feeding a reactive gas into the vacuum chamber through a gas outlet port formed on the second electrode.
    Type: Grant
    Filed: August 15, 1997
    Date of Patent: December 14, 1999
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Kenji Itoh
  • Patent number: 5997685
    Abstract: A corrosion resistant apparatus for processing a substrate in corrosive process gas comprises (i) a process chamber, (ii) a process gas inlet provided for introducing process gas into the chamber, (iii) a process gas exhaust for exhausting process gas from the chamber, and (iv) processing components for processing the substrate in the chamber. At least a portion of any one of the (i) process chamber, (ii) process gas inlet, (iii) process gas exhaust, or (iv) processing components, is exposed to the corrosive gas in the chamber, and is made of an alloy comprising nickel and eutectic component, the alloy being substantially resistant to corrosion by the corrosive process gas.
    Type: Grant
    Filed: April 15, 1996
    Date of Patent: December 7, 1999
    Assignee: Applied Materials, Inc.
    Inventors: Charles K. Radhamohan, Laxman Murugesh, Srihari Ponnekanti
  • Patent number: 5996528
    Abstract: A reactor for plasma CVD or plasma etch is provided with a first electrode held to ground potential which supports the workpiece, e.g., a semiconductor wafer. A second electrode is spaced from the first electrode to form a gap therebetween, and has an electrical potential suitable to form an ionizing electrical field within the gap. The second electrode also has a gas inlet and a gas outlet. Preferably, the gas outlet includes a plurality of gas outlets. The reactor includes a porous plug constructed and arranged with the gas inlet to isolate the second electrode from ground potential. This plug has a plurality of pores which are sized to permit passage of gas therethrough and to substantially inhibit electrical discharge therein. Accordingly, gas injected through the gas inlet of the second electrode passes through the plug without ionization; and that gas thereafter exits from the gas outlet to provide substantially uniform ionization within the gap.
    Type: Grant
    Filed: July 2, 1996
    Date of Patent: December 7, 1999
    Assignee: Novellus Systems, Inc.
    Inventors: Donald W. Berrian, Robert Kaim, John D. Pollock
  • Patent number: 5997649
    Abstract: A reaction chamber for chemical vapor deposition of a material layer onto a substrate using a process gas comprises a chamber body having an inner wall which defines a process space for containing a substrate, a lid to effectively close the process space, and a planar showerhead positioned inside the chamber body for dispersing a process gas into the process space. A lower insulator plate is positioned on one side of the showerhead between the showerhead and the chamber body for electrically insulating the showerhead from the chamber body, and an upper insulator plate is positioned on the other side of the showerhead between the showerhead and the chamber body and lid for electrically insulating the showerhead from the chamber body and lid.
    Type: Grant
    Filed: April 9, 1998
    Date of Patent: December 7, 1999
    Assignee: Tokyo Electron Limited
    Inventor: Joseph T. Hillman
  • Patent number: 5997687
    Abstract: This invention relates to optimization of processing with a pulse plasma. The frequency at the initial period of rise of each pulse is shifted higher than that in the steady state in accordance with the ON timing of a plasma excitation RF power pulse. With this setting, the RF power pulse is matched to a high resonance frequency used when no plasma exists in a processing chamber, or a plasma is weak, thereby enhancing the ignition performance of the pulse plasma. In this invention, a biasing RF power pulse is controlled to adjust the maximum, minimum, or average value of a potential on the processing surface of a substrate to be a predetermined value or less. A means for this control includes a means for controlling the output waveform of the biasing RF power pulse, and a means for controlling the frequency of the biasing RF power pulse. By this control, the damage to the substrate due to collision of ions with the substrate is reduced, and uniform plasma processing is performed.
    Type: Grant
    Filed: August 7, 1997
    Date of Patent: December 7, 1999
    Assignee: Tokyo Electron Limited
    Inventor: Chishio Koshimizu
  • Patent number: 5992346
    Abstract: The invention relates to an apparatus (10) for the surface treatment of workpieces. For providing an apparatus (10) which is suited to perform the plasma treatment of porous workpieces by use of simple means and with continuously high quality, it is provided according to the invention that the workpieces, while arranged in a vaccum container, are subjected first to a cleaning process for evaporating and sucking the adhering foreign substances and subsequently to a plasma treatment process. The apparatus (10) is characterized in that a separator (16) is arranged in the suction pipe (14) between the vacuum container (12) and the vacuum source (18) for separating foreign substances from the gas mixture sucked from the vacuum container (12).
    Type: Grant
    Filed: January 3, 1997
    Date of Patent: November 30, 1999
    Inventor: Siegfried Straemke
  • Patent number: 5993598
    Abstract: Methods and devices for producing plasmas of more uniform density and greater height than plasmas generated by previously known magnetron-type plasma-generating devices. The present invention utilizes electrodes containing multiple magnets positioned such that like magnetic poles of the magnets are all facing in substantially the same direction.
    Type: Grant
    Filed: July 22, 1997
    Date of Patent: November 30, 1999
    Assignee: The Dow Chemical Company
    Inventor: Ing-Feng Hu
  • Patent number: 5993597
    Abstract: The present invention provides:a plasma etching electrode made of single-crystal silicon, which has an electric resistance of 0.0001-40 .OMEGA.cm, whose crystal faces are (100), which is doped with boron or phosphorus, whose surface has been subjected to an etching treatment with an acid, and which has been subjected to a heat treatment in vacuum, or a plasma etching electrode made of polycrystalline silicon, which has an electric resistance of 0.0001-40 .OMEGA.cm, which is doped with boron or phosphorus, whose surface has been subjected to an etching treatment with an acid, and which has been subjected to a heat treatment in vacuum, anda process for producing a plasma etching electrode, which comprises doping metallic silicon with boron or phosphorus, subjecting the surface of the resulting material to an etching treatment with an acid, and subjecting the surface-etched material to a heat treatment in vacuum.With the plasma etching electrode, dust generation is minimized and uniform etching can be realized.
    Type: Grant
    Filed: June 26, 1997
    Date of Patent: November 30, 1999
    Assignee: Nisshinbo Industries, Inc.
    Inventors: Kazuo Saito, Yasushi Mochizuki, Akira Yamaguchi
  • Patent number: 5988104
    Abstract: The present invention provides a plasma treatment system having a reaction chamber in which a plasma is generated to be irradiated onto a sample held on a sample holder in the reaction chamber. The reaction chamber has a top covered by a dielectric plate. The plasma treatment system also has an opposite electrode having a plurality of windows through which microwave is transmitted into the reaction chamber for causing the plasma, wherein the opposite electrode is provided over a top surface of the dielectric plate so that the opposite electrode is separated by the dielectric plate from the plasma generated in the reaction chamber.
    Type: Grant
    Filed: July 29, 1997
    Date of Patent: November 23, 1999
    Assignee: NEC Corporation
    Inventor: Hidetaka Nambu
  • Patent number: 5988103
    Abstract: Uniform ion implantation and deposition onto cylindrical surfaces is achieved by placing a cylindrical electrode in coaxial and conformal relation to the target surface. For implantation and deposition of an inner bore surface the electrode is placed inside the target. For implantation and deposition on an outer cylindrical surface the electrode is placed around the outside of the target. A plasma is generated between the electrode and the target cylindrical surface. Applying a pulse of high voltage to the target causes ions from the plasma to be driven onto the cylindrical target surface. The plasma contained in the space between the target and the electrode is uniform, resulting in a uniform implantation or deposition of the target surface. Since the plasma is largely contained in the space between the target and the electrode, contamination of the vacuum chamber enclosing the target and electrodes by inadvertent ion deposition is reduced.
    Type: Grant
    Filed: January 6, 1997
    Date of Patent: November 23, 1999
    Assignee: Wisconsin Alumni Research Foundation
    Inventors: Robert P. Fetherston, Muhammad M. Shamim, John R. Conrad
  • Patent number: 5989349
    Abstract: A diagnostic pedestal assembly for measuring ion current and DC bias voltage within a high-power plasma reaction chamber of a semiconductor wafer processing system. The diagnostic pedestal assembly contains an aperture located in a surface of the pedestal and a probe element that is supported within the aperture.
    Type: Grant
    Filed: June 24, 1997
    Date of Patent: November 23, 1999
    Assignee: Applied Materials, Inc.
    Inventors: Kuang-Han Ke, Roger A. Lindley, Hongching Shan, Richard R. Mett