Having Glow Discharge Electrodes (e.g., Dc, Ac, Rf, Etc.) Patents (Class 118/723E)
  • Patent number: 6110556
    Abstract: A chemical vapor deposition (CVD) system of the type having an enclosure housing a process chamber and a supply of cleaning gas, features a lid having a base plate with opposed first and second major surfaces and a plurality of throughways extending therebetween to provide an asymmetric flow of cleaning gas into the chamber. Specifically, a subportion of the second major surface lies in a plane of truncation and faces the process chamber when the lid is in a closed position. The remaining portions of the second major surface are recessed, defining central and annular recesses. The annular recess has a base surface and two spaced-apart side surfaces extending from the base surface and terminating proximate to the plane of truncation. The plurality of throughways consists of primary and secondary throughways, each of which extends from an opening in the first major surface and terminates in an orifice.
    Type: Grant
    Filed: October 17, 1997
    Date of Patent: August 29, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Won Bang, Ellie Yieh, Thanh Pham
  • Patent number: 6105518
    Abstract: A method and apparatus for treating a work surface, wherein there is provided a chamber having a longitudinal axis and longitudinally extending electrically conductive sidewalls, at least one sidewall having at least one longitudinally extending gap that interrupts a current path through the sidewalls transverse to the longitudinal axis, and wherein the chamber is sealed to allow pressure inside the chamber to be controlled.
    Type: Grant
    Filed: June 30, 1997
    Date of Patent: August 22, 2000
    Assignee: Research Triangle Institute
    Inventors: Anthony E. Robson, Ronald A. Rudder, Robert C. Hendry, Moses M. David, James V. Burt
  • Patent number: 6106659
    Abstract: Continuous-feed plasma treater systems are designed to treat continuous substrates, such as webs or films, by continuously feeding the substrates through an enclosure having a plasma discharge that alters the substrate's surface properties in some desirable fashion. According to the present invention, the enclosure has one or more electrode assemblies that generate plasma discharges at working-gas pressures ranging from moderate pressures (e.g., rough vacuums as low as about 10 Torr) to high pressures (e.g., about one Atmosphere). The electrode assemblies are driven by power supplies that excite the electrode assemblies in either an RF resonant excitation mode or a pulsed voltage excitation mode.
    Type: Grant
    Filed: October 9, 1997
    Date of Patent: August 22, 2000
    Assignee: The University of Tennessee Research Corporation
    Inventors: Paul Spence, John Lynch
  • Patent number: 6101969
    Abstract: A plasma generating electrode device including a substrate 31 made of a dense ceramic, and an electrode 55 buried in said substrate 31, wherein said electrode 55 is isolated from a setting face of said substrate 31, and plasma is generated over said substrate. It is preferable that the minimum thickness of an electromagnetic wave permeation layer 37 is not less than 0.1 mm, the average thickness of the electromagnetic wave permeation layer is not less than 0.5 mm, the electrode 55 is a planar electrode made of a metal bulk, and the electrode is a monolithic sinter free from a joint face. This structure can be applied to an electric dust collector, an electromagnetic shield device or an electrostatic chuck. These can be preferably installed inside a semiconductor production unit using a halogen-based corrosive gas.
    Type: Grant
    Filed: June 15, 1998
    Date of Patent: August 15, 2000
    Assignee: NGK Insulators, Ltd.
    Inventors: Yusuke Niori, Koichi Umemoto, Ryusuke Ushikoshi
  • Patent number: 6101970
    Abstract: An inductively coupled type dry etching apparatus has an RF antenna disposed on a dielectric wall forming the ceiling of a process chamber. The process chamber is divided into a plasma generating space and a processing space by the partition of an intermediate electrode. A susceptor is arranged in the processing space, for mounting a semiconductor wafer thereon. The partition has openings for the plasma generating space and the processing space to communicate with each other. The partition is formed of a plurality of conductive beams radially arranged. The conductive beams extend in directions perpendicular to the direction of an electric field generated by the RF antenna, and have warps to absorb thermal stress.
    Type: Grant
    Filed: September 29, 1998
    Date of Patent: August 15, 2000
    Assignees: Tokyo Electron Yamanashi Limited, Japan Science and Technology Corporation
    Inventor: Chishio Koshimizu
  • Patent number: 6101972
    Abstract: A substrate processing system includes a processing chamber, a substrate holder positioned in the chamber, a gas source for supplying a process gas to the chamber, at least one ion source located in the chamber, and a power source for energizing the ion source by positively biasing the anode and negatively biasing the cathode, the bias in each instance being relative to the chamber. The ion source ionizes the process gas producing ions for processing a substrate disposed on a substrate holder in the chamber. One embodiment includes two such ion sources. In this case, the power source energizes the first and second anodes and the cathodes in a time multiplexed manner, such that only one of the first or second ion sources is energized at any time and interactions between ion sources are eliminated.
    Type: Grant
    Filed: January 22, 1999
    Date of Patent: August 15, 2000
    Assignee: Intevac, Inc.
    Inventors: Terry Bluck, James H. Rogers, Jun Xie
  • Patent number: 6101971
    Abstract: Apparatus and method for implanting ions into a workpiece surface. A concentration of ions is produced. An optical analysis of the concentration of ions is performed and recorded. The constituency of the ion concentration is determined by comparing the optical analysis data with a database of records on a storage medium wherein the optical analysis data for given concentrations of ions have been stored for subsequent access. Ions from the ion concentration are caused to impact a workpiece surface. The dose of ions implanted into the workpiece is measured. Implantation of the workpiece is stopped once an appropriate dose has been reached.
    Type: Grant
    Filed: December 22, 1998
    Date of Patent: August 15, 2000
    Assignee: Axcelis Technologies, Inc.
    Inventors: A. Stuart Denholm, Jiong Cheng, Michael A. Graf, Peter Kellerman, George Stejic
  • Patent number: 6101973
    Abstract: This invention relates to an apparatus and a method for improving slip characteristics on the surface of a polymeric material, such as an outer surface, inner surface, or both of polymeric tubing.
    Type: Grant
    Filed: March 4, 1999
    Date of Patent: August 15, 2000
    Assignee: Medtronic, Inc.
    Inventors: Mark T. Stewart, Kenneth E. Cobian, Michael J. Ebert
  • Patent number: 6099705
    Abstract: A physical vapor deposition device comprises a vacuum chamber in which Ar ions are generated, a wafer chuck for holding a circular-shaped semiconductor wafer, a circular-shaped metal target above the wafer, an annular metal coil between the metal target and the wafer and made of the same material as the metal target, and a voltage controller for supplying voltage to the metal target, the wafer chuck and the metal coil. During a PVD processing, the voltage controller generates voltage biases between the metal target and the wafer chuck and between the metal coil and wafer chuck. That causes Ar ions to bombard the metal target to release metal atoms sputtering onto the center portion of the wafer, and causes Ar ions to bombard the metal coil to release the metal atoms sputtering onto the peripheral portion of the wafer so as to create a uniform metal layer on the wafer.
    Type: Grant
    Filed: September 8, 1999
    Date of Patent: August 8, 2000
    Assignee: United Microelectronics Corp.
    Inventors: Hsueh-Chung Chen, Juan-Yuan Wu, Water Lur
  • Patent number: 6098568
    Abstract: A substrate processing system that includes a ceramic substrate holder having an RF electrode embedded within the substrate holder and a gas inlet manifold spaced apart from the substrate holder. The gas inlet manifold supplies one or more process gases through multiple conical holes to a reaction zone of a substrate processing chamber within the processing system and also acts as a second RF electrode. Each conical hole has an outlet that opens into the reaction zone and an inlet spaced apart from the outlet that is smaller in diameter than said outlet. A mixed frequency RF power supply is connected to the substrate processing system with a high frequency RF power source connected to the gas inlet manifold electrode and a low frequency RF power source connected to the substrate holder electrode. An RF filter and matching network decouples the high frequency waveform from the low frequency waveform.
    Type: Grant
    Filed: December 1, 1997
    Date of Patent: August 8, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Sebastien Raoux, Mandar Mudholkar, William N. Taylor, Mark Fodor, Judy Huang, David Silvetti, David Cheung, Kevin Fairbairn
  • Patent number: 6099687
    Abstract: A small, light-weight and highly maintainable etching system and an etching method for etching a large substrate with a homogeneous etching rate are provided. The etching system comprises an agitating electric field system disposed around the substrate, an agitating power source of high frequency, medium frequency or low frequency, agitating electrodes, amplifiers and a phase controller to agitate electrons or ions to increase the etching speed and the uniformity of the etching rate by promoting activation of reactive gas and uniformalizing a plasma density.
    Type: Grant
    Filed: July 29, 1996
    Date of Patent: August 8, 2000
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Patent number: 6095084
    Abstract: A process chamber 55 for processing a semiconductor substrate 60 in a plasma, comprises a process gas distributor 100 for distributing process gas into a plasma zone 65 in the chamber. An inductor antenna 135 is used to form an inductive plasma from the process gas in the plasma zone. A primary bias electrode 145 on a ceiling 140 of the chamber 55 has a conducting surface 150 exposed to the plasma zone 65. A dielectric member 155 comprising a power electrode 165 embedded therein, has a receiving surface for receiving a substrate 60. A secondary bias electrode 170 below the dielectric member 155 has a conducting surface 175 exposed to the plasma zone 65. An electrode voltage supply 180 maintains the power electrode 165, primary bias electrode 145, and secondary bias electrode 170, at different electrical potentials to provide a high density, highly directional, plasma in the plasma zone 65 of the chamber 55.
    Type: Grant
    Filed: July 14, 1997
    Date of Patent: August 1, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Shamouil Shamouilian, Ananda H. Kumar, Arnold Kholodenko, Dennis S. Grimard, Jonathan D. Mohn, Michael G. Chafin, Kenneth S. Collins
  • Patent number: 6093281
    Abstract: A baffle plate for semiconductor processing apparatus. The baffle plate includes a plurality of slits. A plurality of fins are located between adjacent slits. The fins have varying heights and a supporting portion interconnects the fins.
    Type: Grant
    Filed: February 26, 1998
    Date of Patent: July 25, 2000
    Assignee: International Business Machines Corp.
    Inventors: Richard S. Wise, David M. Dobuzinsky, William C. Wille
  • Patent number: 6089186
    Abstract: The invention provides a vacuum coating forming device for forming a thin-film coating by a plasma beam on a substrate arranged in a vacuum chamber, the vacuum coating forming device being provided with a pressure gradient type plasma gun for generating the plasma beam toward the vacuum chamber and a converging coil which is provided so as to surround a short-tube portion of the vacuum chamber projecting toward an outlet of the plasma gun and which reduces a cross section of the plasma beam. This vacuum coating forming device further comprises an insulating tube provided at the outlet so as to surround the plasma beam and project in electric floating state, and an electron return electrode which surrounds the insulating tube within the short-tube portion and which is higher in electric potential than the outlet.
    Type: Grant
    Filed: September 13, 1999
    Date of Patent: July 18, 2000
    Assignees: Chugai Ro Co., Ltd., Dai Nippon Printing Co., Ltd.
    Inventors: Kinya Kisoda, Eiji Furuya, Ryoichi Ohigashi
  • Patent number: 6090247
    Abstract: The current invention relates to the provision of apparatus for depositing layers of material onto substrates (2) mounted on a carrier (4) all provided in a vacuum chamber (6) wherein the material is deposited by sputtering of targets of the material from activated magnetrons (7, 7') and the deposited material is reacted by providing a reaction producing means (9) which allows the coating material on the substrates to be conditioned to have the required characteristics. The invention provides that any, or any combination, of the material depositing means and/or reaction producing means are formed from either two magnetrons or a magnetron and counter electrode arrangement which are powered by an alternating voltage power supply which allows a reduction in the occurence of arcing and thereby the dimensions of the apparatus in comparison to conventional apparatus can be substantially reduced.
    Type: Grant
    Filed: November 17, 1995
    Date of Patent: July 18, 2000
    Assignee: Applied Vision Limited
    Inventors: Norman Henry White, Allen Robert Waugh, Alarie Graham Spencer, John Michael Walls, Hussein J'Afer
  • Patent number: 6089181
    Abstract: In a plasma etching apparatus, a process gas is supplied into a process chamber and converted into plasma by means of RF discharge, and a semiconductor wafer placed on a lower electrode is etched by the plasma. An RF power supply mechanism is connected to the lower electrode for applying thereto a superposed RF power for forming an RF electric field in the process chamber. The RF power supply mechanism has first and second RF power supplies for respectively oscillating a low frequency RF component and a high frequency RF component having a higher frequency than the low frequency RF component. The high frequency RF component from the second frequency RF component supply has its wave form modulated by a modulator on the basis of the wave form of the low frequency RF component from the first frequency RF power supply. Thereafter, the modulated high frequency RF component and the low frequency RF component are superposed upon each other.
    Type: Grant
    Filed: July 21, 1997
    Date of Patent: July 18, 2000
    Assignee: Tokyo Electron Limited
    Inventors: Tomoki Suemasa, Tsuyoshi Ono, Kouichiro Inazawa
  • Patent number: 6089183
    Abstract: In performing plasma etching or plasma CVD, a gas containing an interhalogen compound gas or a XeF.sub.2 gas is used as a process gas. Such a process gas generates, in the state of non-plasma and with activation energy lower than a specified level, a volatile material from a deposition species generated in the above etching so as to contribute to the suppression of film formation. For example, the XeF.sub.2 gas, a BrF.sub.3 gas, a BrCl gas are used in the cases of etching a silicon dioxide film, a silicide film, and a polysilicon film, respectively. On the surface of a substrate is formed a non-volatile protective film so as to improve the profiles of an opening. At the wall surface of a reaction chamber which is barely influenced by the plasma, the deposition species is turned into a volatile material (e.g., SiF.sub.4) so as to suppress the deposition of reaction products thereon. If the interhalogen compound gas, XeF.sub.
    Type: Grant
    Filed: March 6, 1998
    Date of Patent: July 18, 2000
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Shinichi Imai, Tokuhiko Tamaki
  • Patent number: 6085690
    Abstract: A deposition apparatus has a reactor 11 which is furnished with a reaction gas delivery part 13 and a substrate holder 12 in which reaction gas is delivered from the reaction gas delivery part to a substrate 23 on the substrate holder, and a thin film is deposited on the substrate by means of a chemical reaction which results from supplying HF power to the reaction gas delivery part. Plasma is generated and excites the reaction gas. The gas delivery parts 27, 29, 30, 31 produce a flow of purge gas in the dead space surrounding the reaction gas deliver part. Reaction gas which is liable to be retained in the dead space is driven out by the flow of this gas, and circulation and retention of reaction gas are prevented.
    Type: Grant
    Filed: September 13, 1999
    Date of Patent: July 11, 2000
    Assignee: Anelva Corporation
    Inventor: Shigeru Mizuno
  • Patent number: 6082294
    Abstract: An apparatus for depositing diamond film is disclosed, which comprises: a chamber; a reaction zone in the chamber; means for feeding diamond forming gases and an electron source gas into the reaction zone; means for applying an electric field across the reaction zone to accelerate free electrons of the electron source gas and dissociate hydrogen in the diamond forming gases to produce atomic hydrogen; and a deposition surface adjacent the reaction zone, whereby diamond film is deposited on the deposition surface from the diamond forming gases, assisted by the atomic hydrogen.
    Type: Grant
    Filed: May 12, 1997
    Date of Patent: July 4, 2000
    Assignee: Saint-Gobain Industrial Ceramics, Inc.
    Inventor: Matthew Simpson
  • Patent number: 6082292
    Abstract: A surface treatment gas reactor features a reactor housing and a roller assembly for transporting a bi-dimensional material into and out of a gas reaction chamber. The reactor housing has a reactive gas inlet port and gas outlet or vacuum ports formed therein. Electrodes are mounted within the reactor housing between the gas inlet port and the gas outlet port such that a reactive gas admitted into the reaction chamber through the gas inlet port must pass through a plasma curtain generated by the electrodes before passing out of the outlet ports. The roller assembly includes a central roller having a grounded surface for transporting a bi-dimensional substrate through the reaction chamber on the surface thereof. The central roller is sized so as to reduce the active volume of the reaction chamber. Inside sealing rollers mounted adjacent to the central roller surface have compliant surfaces which form material transport contact points between the central roller and the inside sealing rollers.
    Type: Grant
    Filed: January 5, 1999
    Date of Patent: July 4, 2000
    Assignee: Wisconsin Alumni Research Foundation
    Inventors: Ferencz S. Denes, Majid Sarmadi, Robert J. Sandberg
  • Patent number: 6082293
    Abstract: According to the present invention, when power is supplied from a high frequency power supply to an antenna 14, a quasi-electrostatic induced electric field E.sub.PS is generated in a chamber 11, and plasma P of high density is generated over a broad area. Further, an alternating current (frequency: several tens Hz to several tens KHz) flows in polyphase AC magnets 17 by a polyphase AC inverter power supply, whereby a horizontal magnetic field (magnetic flux density B) is generated on a semiconductor wafer 13. The magnetic field thus generated rotates at the rotational number corresponding to the frequency which is set in the polyphase AC inverter power supply, and the rotation of the magnetic field causes a rotating electric field E to occur on the surface of the semiconductor wafer 13. In a surface area of the semiconductor wafer 13, an electric field E.sub.V (plasma sheath electric field E.sub.sheath) is generated in the vertical direction while an electric field E.sub.
    Type: Grant
    Filed: April 17, 1997
    Date of Patent: July 4, 2000
    Assignee: Sony Corporation
    Inventor: Toshitaka Kawashima
  • Patent number: 6079358
    Abstract: An apparatus for forming a thin film comprises a housing defining a reaction chamber; a pair of electrodes securely fixed in the reaction chamber of the housing such that they are spaced from each other by a predetermined distance; and a plasma carrier detachably disposed between the pair of electrodes and having at least one substrate assembled thereto, the plasma carrier and at least one substrate cooperating with each other to delimit a glow discharge space, the plasma carrier being formed with a plurality of gas intake holes and a plurality of gas exhaust holes for communicating the glow discharge space with the outside. According to the present invention, in a plasma chemical vapor deposition device, a glow discharge space is delimited by a plasma carrier, whereby a cleansing procedure is simplified and productivity is enhanced while advantages of a box carrier type plasma chemical vapor deposition device are afforded.
    Type: Grant
    Filed: November 4, 1998
    Date of Patent: June 27, 2000
    Assignee: SK Corporation
    Inventors: Dae-Won Kim, Sang-Soon Bae
  • Patent number: 6079355
    Abstract: An electrode plate assembly for installation to an etching console includes a cooling electrode plate, an aligning peg, a covering plate and a plurality of screws for coupling the electrode cooling plate and cover plate. The cooling electrode plate is formed of a metallic, disk-shaped electrode plate including an aligning peg securing hole, a plurality of first screw holes and a plurality of first vent holes. The aligning peg is located within the aligning peg securing hole and protrudes from the cooling electrode plate. The covering plate is a thin disk-shaped plate including an aligning peg receiving hole, a plurality of second screw holes and a plurality of second vent holes. Upon installation, the covering plate and the cooling electrode plate are coupled by a plurality of screws through the first and second screw holes, with the aligning peg received in the aligning peg receiving hole of the covering plate to assure proper alignment of the plates.
    Type: Grant
    Filed: April 15, 1997
    Date of Patent: June 27, 2000
    Assignee: United Microelectronics Corp.
    Inventors: Chang Ping Lou, James Ho
  • Patent number: 6079356
    Abstract: A plasma reaction chamber particularly suited for plasma-enhance chemical vapor deposition of titanium using TiCl.sub.4 as the precursor. The reactor includes a perforated showerhead faceplate and a perforated blocker plate within the showerhead to evenly distribute the atomized TiCl.sub.4. Both the showerhead faceplate and the blocker plate are made of solid nickel. RF power is applied between the showerhead faceplate and the heater pedestal supporting the wafer to excite the processing gas into a plasma. A shield ring is set on the periphery of the heater pedestal to confine the plasma to the processing region above the wafer. The shield ring is supported on the heater pedestal by a downwardly descending ridge, thereby minimizing thermal flow. The shield ring also protects the periphery of the top surface of the heater pedestal not covered by the wafer. An isolator electrically insulates the RF-driven showerhead from the chamber body and is disposed generally above the shield ring.
    Type: Grant
    Filed: February 13, 1998
    Date of Patent: June 27, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Salvador Umotoy, Anh N. Nguyen, Truc T. Tran, Lawrence Chung-Lei, Mei Chang
  • Patent number: 6076481
    Abstract: One or more mismatching portions in which a characteristic impedance of a high frequency transmission cable of a cathode electrode is changed in a traveling direction of an incident wave of the high frequency are provided on the cathode electrode for use in plasma processing, whereby the plasma processing can form a high-quality deposited film having an extremely uniform film thickness and a homogeneous film quality on a substrate at high speed, can effectively form a semiconductor device, can also form the high-quality deposited film having the extremely uniform film thickness and the homogeneous film quality in the axial direction and the circumferential direction of the cylindrical substrate on the surfaces of a plurality of cylindrical substrates at high speed, and can effectively form the semiconductor device.
    Type: Grant
    Filed: April 1, 1997
    Date of Patent: June 20, 2000
    Assignee: Canon Kabushiki Kaisha
    Inventors: Atsushi Yamagami, Satoshi Takaki
  • Patent number: 6076483
    Abstract: A plasma processing apparatus has a plurality of annular permanent magnets arranged concentrically with the same polarity in the circumferential direction at the atmosphere side of a second electrode arranged opposite to a stage on which a specimen is placed. Arrangement is provided so that the magnets located adjacent radially have opposite polarity. Furthermore, permanent magnets are arranged at the outer circumference of a vacuum vessel corresponding to a plasma generation chamber portion. A plasma processing apparatus can be provided that allows formation of uniform plasma over a large area and uniform processing of a specimen of a large diameter.
    Type: Grant
    Filed: March 26, 1998
    Date of Patent: June 20, 2000
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Kenji Shintani, Masakazu Taki, Hiroki Ootera, Kazuyasu Nishikawa
  • Patent number: 6077388
    Abstract: A system and method for performing plasma etch on a spherical shaped device is disclosed. The system includes a processing tube for providing a reactive chamber for the spherical shaped substrate and a plasma jet is located adjacent to the processing tube. The plasma jet includes a pair of electrodes, such as a central cathode and a surrounding anode, for producing a plasma flame directed towards the reactive chamber. The central cathode may, for example, be powered by a radio frequency power source. As a result, the reactive chamber supports non-contact etching of the spherical shaped substrate by the plasma flame.
    Type: Grant
    Filed: July 8, 1999
    Date of Patent: June 20, 2000
    Assignee: Ball Semiconductor, Inc.
    Inventor: Alex Freeman
  • Patent number: 6076482
    Abstract: The invention contours the chamber surface overlying semiconductor wafer being processed (i.e., the chamber ceiling) in such a way as to promote or optimize the diffusion of plasma ions from their regions of origin to other regions which would otherwise have a relative paucity of plasma ions. This is accomplished by providing a greater chamber volume over those areas of the wafer otherwise experiencing a shortage of plasma ions and a smaller chamber volume over those areas of the wafer experiencing a plentitude of plasma ions (e.g, due to localized plasma generation occurring over the latter areas). Thus, the ceiling is contoured to promote a plasma ion diffusion which best compensates for localized or non-uniform patterns in plasma ion generation typical of an inductively coupled source (e.g., an overhead inductive antenna).
    Type: Grant
    Filed: September 20, 1997
    Date of Patent: June 20, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Ji Ding, James Carducci, Hongching Shan, Siamak Salimian, Evans Lee, Paul E. Luscher, Mike Welch
  • Patent number: 6074519
    Abstract: A plasma etching apparatus is provided having a sealing member coupling an upper electrode to the plasma etching chamber. A peripheral portion of the inner surface of the upper electrode includes a planar surface across both anodized and non-anodized portions of the surface in the peripheral contact region adjacent to the upper portion of the sidewalls of the chamber assembly. A sealing member is positioned between the planar, peripheral portion of the second electrode and the upper portion of the sidewalls to provide a seal therebetween. The anodized portion of the inner surface of the upper electrode may extend over the area adjacent to the opening in the chamber housing and further extend into the peripheral portion beyond the sealing member to reduce the potential for arcing to occur to the non-anodized section during plasma etching operations.
    Type: Grant
    Filed: September 3, 1999
    Date of Patent: June 13, 2000
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Se-Hyeong Lee, Jong-Heui Song, Min-Woong Choi
  • Patent number: 6073576
    Abstract: A low-pressure processor for processing substrates includes a chuck that engages the substrates' peripheries for purposes of clamping, sealing, and centering the substrates on chuck bodies. For accomplishing all three purposes, a mechanical clamp can be arranged with two sealing regions. One of the sealing regions seals the clamp to a chuck body or an extension of the chuck body, and another of the sealing regions engages a peripheral edge surface of a substrate for sealing the clamp to the substrate. The second sealing region includes an inclined seating surface that engages a front edge of the substrate's peripheral edge surface and divides a clamping force into a first component that presses the substrate against the chuck body and a second component that centers the substrate on the chuck body.
    Type: Grant
    Filed: November 25, 1997
    Date of Patent: June 13, 2000
    Assignee: CVC Products, Inc.
    Inventors: Mehrdad M. Moslehi, Cecil J. Davis
  • Patent number: 6073577
    Abstract: An electrode assembly for a plasma reaction chamber wherein processing of a semiconductor substrate such as a single wafer can be carried out, a method of manufacture of the electrode assembly and a method of processing a semiconductor substrate with the assembly. The electrode assembly includes a support member such as a graphite ring, an electrode such as a silicon showerhead electrode in the form of a circular disk of uniform thickness and an elastomeric joint between the support member and the electrode. The elastomeric joint allows movement between the support member and the electrode to compensate for thermal expansion as a result of temperature cycling of the electrode assembly. The elastomeric joint can include an electrically and/or thermally conductive filler and the elastomer can be a catalyst-cured polymer which is stable at high temperatures.
    Type: Grant
    Filed: June 30, 1998
    Date of Patent: June 13, 2000
    Assignee: Lam Research Corporation
    Inventors: John Lilleland, Jerome S. Hubacek, William S. Kennedy
  • Patent number: 6074488
    Abstract: A support 200 for supporting a substrate 50 in a plasma process chamber 20, comprises a dielectric member 205 having an electrode embedded therein, and having a receiving surface for receiving the substrate. An electrical conductor 210 supporting the dielectric member 205, comprises a peripheral portion 228 extending beyond the electrode in the dielectric member. A voltage supply 158 supplies an RF bias voltage to the electrode embedded in the dielectric member 205 to capacitively couple RF power from the electrode to the conductor 210, and optionally, supplies a DC voltage to electrostatically hold the substrate 50 to the dielectric member. A collar ring 230 on the peripheral portion 228 of the conductor 210, comprises a RF electrical field absorption that is sufficiently low to capacitively couple RF power from the peripheral portion of the conductor through the collar ring to a plasma sheath that extends; above the collar ring, during use of the chuck in the plasma process chamber 20.
    Type: Grant
    Filed: September 16, 1997
    Date of Patent: June 13, 2000
    Assignee: Applied Materials, Inc
    Inventors: Craig A. Roderick, Dennis S. Grimard
  • Patent number: 6074512
    Abstract: In accordance with a first aspect of the invention, a plasma reactor having a chamber for containing a plasma and a passageway communicating with the chamber is enhanced with a first removable plasma confinement magnet module placed adjacent the passageway including a first module housing and a first plasma confinement magnet inside the housing. It may further include a second removable plasma confinement magnet module placed adjacent the passageway including a second module housing, and a second plasma confinement magnet. Preferably, the first and second modules are located on opposite sides of the passageway. Moreover, the first and second plasma confinement magnets have magnetic orientations which tend to oppose plasma transport or leakage through the passageway. Preferably, the module housing includes a relatively non-magnetic thermal conductor such as aluminum and is in thermal contact with said chamber body.
    Type: Grant
    Filed: July 15, 1997
    Date of Patent: June 13, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Kenneth Collins, Michael Rice, Douglas Buchberger, Craig Roderick, Eric Askarinam, Gerhard Schneider, John Trow, Joshua Tsui, Dennis Grimard, Gerald Yin, Robert Wu
  • Patent number: 6074518
    Abstract: A plasma processing apparatus comprises a chamber, and an upper electrode and a lower electrode, parallelly provided in the chamber to oppose each other at a predetermined interval, for defining a plasma generation region between the electrodes. An object to be processed is mounted on the lower electrode. RF powers are supplied to the electrodes, so that a plasma generates between the electrodes, thereby performing a plasma process with respect to the object to be processed. A cylindrical ground electrode is provided around the plasma generation region in the chamber, for enclosing the plasma in the plasma generation region, and has a plurality of through holes for passing a process gas.
    Type: Grant
    Filed: January 4, 1999
    Date of Patent: June 13, 2000
    Assignees: Tokyo Electron Limited, Tokyo Electron Yamanashi Limited
    Inventors: Kosuke Imafuku, Shosuke Endo, Kazuhiro Tahara, Hiroshi Tsuchiya, Masayuki Tomoyasu, Yukio Naito, Kazuya Nagaseki, Ryo Nonaka, Keizo Hirose, Yoshio Fukasawa, Akira Koshiishi, Isao Kobayashi
  • Patent number: 6070550
    Abstract: A method and apparatus for depositing a halogen-doped oxide film having a low dielectric constant that is resistant to moisture absorption and outgassing of the halogen dopant, and that retains these qualities despite subsequent processing steps. The method begins by introducing process gases (including a halogen-containing source gas) into a processing chamber. A halogen-doped layer is then deposited. The combination of process gases is then changed and a sealing layer deposited which seals the dopant into the halogen-doped layer. The sealing layer may, for example, be a carbon-rich layer or an undoped layer. These steps are repeated until the film reaches a selected thickness.
    Type: Grant
    Filed: April 24, 1997
    Date of Patent: June 6, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Kramadhati V. Ravi, Maciek Orczyk
  • Patent number: 6070552
    Abstract: A substrate processing device includes a reactor equipped with a substrate holder and a gas feed electrode facing the substrate holder, a pump mechanism for pumping out an interior of the reactor, a reaction gas feed mechanism for introducing a reaction gas through the gas feed electrode into the interior of said reactor, a high frequency power source for applying a high frequency power to said gas feed electrode, a connecting port formed in a sidewall of said reactor, the pump mechanism is connected to the connecting port formed in the sidewall of the reactor, and a space between the gas feed electrode and the substrate holder is set so that a conductance between the gas feed electrode and the substrate holder is lower than a conductance between the sidewall of the reactor and the gas feed electrode.
    Type: Grant
    Filed: February 12, 1998
    Date of Patent: June 6, 2000
    Assignee: Anelva Corporation
    Inventors: Shigeru Mizuno, Masahito Ishihara, Yoichiro Numasawa, Nobuyuki Takahashi
  • Patent number: 6067930
    Abstract: A tubular reaction chamber is mounted on an annular insulating plate disposed on a grounded metallic base plate. A cylindrical outer electrode connected to a high-frequency power supply is disposed around said tubular reaction chamber, and a cylindrical inner electrode is disposed in said reaction chamber coaxially with said cylindrical outer electrode. The cylindrical inner electrode has a plurality of inlet holes defined therein, and a lower end disposed in an opening defined in the metallic base plate and fixed to said metallic base plate. A holder is disposed in said cylindrical inner electrode for supporting a plurality of wafers at spaced intervals.
    Type: Grant
    Filed: November 20, 1992
    Date of Patent: May 30, 2000
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Mitsuaki Minato, Akira Uehara, Atsushi Matsushita
  • Patent number: 6065425
    Abstract: In order to effect a plasma process at a high rate, such as formation of a high-quality deposit film having very uniform thickness and quality over a large-area substrate, (1) an oscillation frequency of an RF generator is used in the range of 30 to 600 MHz, (2) a matching circuit and a cathode electrode are connected through a transmission line and RF power is supplied through the transmission line, (3) the cathode electrode is of an electrically conductive structure of a rod shape and at a connection part between the cathode electrode and an inner conductor of the transmission line, an external shape of a cross section of the cathode electrode is the same as an external shape of a cross section of the inner conductor, and (4) at least the connection part between the cathode electrode and the inner conductor of the transmission line is covered by a dielectric member having the same external shape as an external shape of a transmission medium in the cross section of the transmission line.
    Type: Grant
    Filed: July 22, 1998
    Date of Patent: May 23, 2000
    Assignee: Canon Kabushiki Kaisha
    Inventors: Satoshi Takaki, Atsushi Yamagami
  • Patent number: 6063233
    Abstract: The invention is embodied in a plasma reactor including a plasma reactor chamber and a workpiece support for holding a workpiece near a support plane inside the chamber during processing, the chamber having a reactor enclosure portion facing the support, a cold body overlying the reactor enclosure portion, a plasma source power applicator between the reactor enclosure portion and the cold body and a thermally conductor between and in contact with the cold body and the reactor enclosure. The thermal conductor and the cold sink define a cold sink interface therebetween, the reactor preferably further including a thermally conductive substance within the cold sink interface for reducing the thermal resistance across the cold sink interface. The thermally conductive substance can be a thermally conductive gas filling the cold body interface. Alternatively, the thermally conductive substance can be a thermally conductive solid material.
    Type: Grant
    Filed: October 21, 1996
    Date of Patent: May 16, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Kenneth Collins, Michael Rice, Eric Askarinam, Douglas Buchberger, Craig Roderick
  • Patent number: 6063248
    Abstract: An improvement in an apparatus having an external casing defining a processing chamber for deposition of a film on a substrate and an opening in the processing chamber through which a substrate is introduced for deposition is described. The improvement comprises an internal casing member moveably mounted within the processing chamber for movement between a first position which allows deposition onto the substrate to occur and a second position at which the casing is in sealing engagement with the opening to form an isolation chamber within the processing chamber and effective to isolate the substrate from processing chamber. The invention also includes a method for reducing cross-contamination between processing chambers in such an apparatus and a method for reducing particulate contamination to media prepared in such a deposition apparatus.
    Type: Grant
    Filed: November 12, 1998
    Date of Patent: May 16, 2000
    Assignee: HMT Technology Corporation
    Inventors: Allen Bourez, Eugene V. Anoikin
  • Patent number: 6063235
    Abstract: A novel gas discharge apparatus for use in a plasma etching system is disclosed. Several components of the gas discharge apparatus including the annular outer chimney, annular outer chimney clamp and annular insulator collar are modified to allow increased egress of particulate matter generated by the etching process away from the substrate being figured. This increased egress results in a significant reduction of contaminants contacting the substrate surface.
    Type: Grant
    Filed: August 14, 1998
    Date of Patent: May 16, 2000
    Assignee: PlasmaSil, LLC
    Inventor: William D. Taylor
  • Patent number: 6055927
    Abstract: An apparatus and method for reducing the production of white powder in a process chamber used for depositing silicon nitride. Steps of the method include heating at least a portion of a wall of the process chamber; providing a liner covering a substantial portion of a wall of the process chamber; providing a remote chamber connected to the interior of the process chamber; causing a plasma of cleaning gas in the remote chamber; and flowing a portion of the plasma of cleaning gas into the process chamber.
    Type: Grant
    Filed: January 14, 1997
    Date of Patent: May 2, 2000
    Assignee: Applied Komatsu Technology, Inc.
    Inventors: Quanyuan Shang, Robert McCormick Robertson, Kam S. Law, Dan Maydan
  • Patent number: 6056850
    Abstract: The temperature of a dry etch process of a semiconductor substrate in a plasma etch chamber is controlled to maintain selectivity while also providing a high etch rate by introducing one or more cooling steps into the etch process. To maintain selectivity of the etch as well as a high rate of etch, the formation of plasma is terminated prior to exceeding a predetermined maximum temperature at at least one selected location in the chamber. The temperature at the selected location is reduced prior to the resumption of plasma flow and etching. The plasma etch is then continued, and may optionally be terminated again to permit cooling, as needed, until etching has been completed.
    Type: Grant
    Filed: January 9, 1998
    Date of Patent: May 2, 2000
    Assignee: Micron Technology, Inc.
    Inventors: Guy T. Blalock, Bradley J. Howard
  • Patent number: 6055928
    Abstract: An apparatus and method for fabricating a spherical shaped semiconductor integrated circuit according to which a chamber is provided into which spheres of a semiconductor material are introduced therein. Process gases are also selectively introduced into the chamber. The chamber includes a metallic portion that is selectively provided a voltage. Upon receiving the voltage, the chamber attracts ions from the process gases, at least some of the attracted ions treating the spheres according to a particular aspect of the fabrication process.
    Type: Grant
    Filed: March 2, 1998
    Date of Patent: May 2, 2000
    Assignee: Ball Semiconductor, Inc.
    Inventors: Ivan Herman Murzin, Yanwei Zhang
  • Patent number: 6055929
    Abstract: Methods and devices for producing plasmas of more uniform density and greater height than plasmas generated by previously known magnetron-type plasma-generating devices. The present invention utilizes electrodes containing multiple magnets positioned such that like magnetic poles of the magnets are all facing in substantially the same direction.
    Type: Grant
    Filed: January 8, 1999
    Date of Patent: May 2, 2000
    Assignee: The Dow Chemical Company
    Inventor: Ing-Feng Hu
  • Patent number: 6054018
    Abstract: A surface treatment gas reactor features a roller assembly for transporting a bi-dimensional material into and out of a gas reaction chamber. Either a four-roller or a three-roller assembly may be employed. The roller assembly is configured such that the surface area of the rollers in the roller assembly which are exposed to the interior of the reaction chamber is minimized. Thus, most of the rollers in the roller assembly are located outside of the reaction chamber. Active volume of the reaction chamber is reduced to a minimum by a large freely rotating roller and a specially shaped support piece mounted in the reaction chamber to occupy most of the dead volume in the reaction chamber. A bi-dimensional substrate to be treated is transported by the roller system and the freely rotating roller through a plasma generated in the reaction chamber by electrodes mounted in a removable electrode holder within the chamber. Axial ends of the rollers in the roller system are sealed with minimum surface contact.
    Type: Grant
    Filed: August 28, 1998
    Date of Patent: April 25, 2000
    Assignee: Wisconsin Alumni Research Foundation
    Inventors: Ferencz S. Denes, Robert J. Sandberg
  • Patent number: 6050216
    Abstract: A plasma reactor showerhead electrode assembly for processing semiconductor wafers comprised of a typically silicon disk shaped gas plate having a plurality of gas passage holes therethrough, and a graphite circular split collar assembly including first and second semicircular sections forming a circumferential inner slot when opposing ends of said sections are secured together, the slot mating in a dovetail connection with an outer circumferential groove of said gas plate for retaining the latter between said sections. A conductive gasket may be interposed between the gas plate groove and the mating collar assembly to provide an electrically and thermally conductive seal. The first and second semicircular sections have opposing ends screwed or pinned together for providing easy disassembly thereof for replacement of the gas plate.
    Type: Grant
    Filed: August 21, 1998
    Date of Patent: April 18, 2000
    Assignee: M.E.C. Technology, Inc.
    Inventors: Matthew Peter Szapucki, Richard Kulkaski, Trevor J. Hadley, Mark Anthony Santorelli, Robert H. Stoever
  • Patent number: 6051286
    Abstract: The present invention provides systems, methods and apparatus for depositing titanium films at rates up to 200 .ANG./minute on semiconductor substrates from a titanium tetrachloride source. In accordance with an embodiment of the invention, a ceramic heater assembly with an integrated RF plane for bottom powered RF capability allows PECVD deposition at a temperature of at least 400.degree. C. for more efficient plasma treatment. A thermal choke isolates the heater from its support shaft, reducing the thermal gradient across the heater to reduce the risk of breakage and improving temperature uniformity of the heater. A deposition system incorporates a flow restrictor ring and other features that allow a 15 liters/minute flow rate through the chamber with minimal backside deposition and minimized deposition on the bottom of the chamber, thereby reducing the frequency of chamber cleanings, and reducing clean time and seasoning. Deposition and clean processes are also further embodiments of the present invention.
    Type: Grant
    Filed: August 22, 1997
    Date of Patent: April 18, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Jun Zhao, Lee Luo, Xiao Liang Jin, Jia-Xiang Wang, Stefan Wolff, Talex Sajoto
  • Patent number: 6050218
    Abstract: Method and apparatus for causing ions to impact a workpiece implantation surface. A process chamber defines a chamber interior into which one or more workpieces can be inserted for ion treatment. An energy source sets up an ion plasma within the process chamber. A support positions one or more workpieces within an interior region of the process chamber so that an implantation surface of the one or more workpieces is positioned within the ion plasma. A pulse generator in electrical communication with the workpiece support applies electrical pulses for attracting ions to the support. One or more dosimetry cups including an electrically biased ion collecting surface are disposed around the workpiece support to measure implantation current. An implantation controller monitors signals from the one or more dosimetry cups to control ion implantation of the workpiece.
    Type: Grant
    Filed: September 28, 1998
    Date of Patent: April 18, 2000
    Assignee: Eaton Corporation
    Inventors: Jiong Chen, Peter Kellerman, A. Stuart Denholm
  • Patent number: 6051099
    Abstract: A can for use in an etching system including a continuous conductive wall with a first opening to be placed adjacent the reactor upper electrode and a second opening to be placed adjacent the reactor lower electrode. Preferably, the conductive wall is a dual wall further including an inner wall and an outer wall, the inner and outer wall separated by one or more openings configured normal to the height of the continuous wall, the openings allowing for the flow of coolant through the wall.
    Type: Grant
    Filed: October 14, 1997
    Date of Patent: April 18, 2000
    Assignee: International Business Machines Corporation
    Inventors: Raymond Bus-Kwoffie, Son Van Nguyen, Diana Perez, Andrew Chiuyan Ting, John Wesley Williams