Having Glow Discharge Electrodes (e.g., Dc, Ac, Rf, Etc.) Patents (Class 118/723E)
  • Patent number: 5989654
    Abstract: A method for manufacturing an optical information recording medium which is usually stable and is not affected by the environmental condition and an apparatus used therefor. The method comprises the steps of placing a substrate and a member comprising a material for a film opposing each other in a vacuum film forming chamber; forming a plasma by glow discharging a gas between the substrate and the member comprising a material for a film; and supplying a gas mixture containing water to the film forming chamber before forming films or during forming films of the protective layer by the film forming method in which the material for a film freed from the member comprising a material for a film by using the plasma as an energy source are attached or deposited on the substrate.
    Type: Grant
    Filed: July 7, 1997
    Date of Patent: November 23, 1999
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Kazumi Yoshioka, Eiji Ohno, Hidemi Isomura, Hiroyuki Ohta
  • Patent number: 5985089
    Abstract: This invention relates to a plasma reactor apparatus having improved etch uniformity and throughput. Higher etch uniformity is achieved through the use of a new gas delivery mechanism and a thermally insulated wafer chuck. The vacuum insulated chuck also results in lower energy consumption and higher throughput.
    Type: Grant
    Filed: May 25, 1995
    Date of Patent: November 16, 1999
    Assignee: Tegal Corporation
    Inventors: Vladimir E. Leibovich, Martin L. Zucker
  • Patent number: 5980687
    Abstract: A plasma process apparatus includes first and second electrodes or susceptors located in a process container with a space interposed therebetween, first and second electrodes being disposed to support a semiconductor wafer such that the wafers are opposed to each other through a plasma a generating region. A high frequency voltages are applied to the first and second electrodes to supply a high frequency power to the plasma generating region, and a rotating magnetic field is generated in the plasma generating region, so that the high frequency power and the rotating magnetic field generate plasma of a process gas in the plasma generating region. Compensating-process-gas supply mechanism is provided for supplying a compensating process gas to part of the plasma generating region in synchronism with the rotation of the rotating magnetic field to compensate nonuniformity in the density of plasma generated in the plasma generating region.
    Type: Grant
    Filed: April 27, 1998
    Date of Patent: November 9, 1999
    Assignee: Tokyo Electron Limited
    Inventor: Chishio Koshimizu
  • Patent number: 5976261
    Abstract: A method and apparatus for multi-zone injection apparatus of multiple process gases onto a work piece during manufacture. The multi-zone injection apparatus uses a gas injection plate with multiple injection zones to deliver the multiple process gases into the chamber for deposition onto the work piece (for example, a silicon wafer). The gas showerhead separates the multiple process in a manner that avoids premixing the process gases, thereby minimizing gas-phase nucleation and particulate generation. The showerhead also allows real-time independent control over the gas flow rates in N channels to achieve deposition uniformity. Different gases can be configured in adjacent channels to provide M zones of multi-gas radial control.
    Type: Grant
    Filed: July 11, 1996
    Date of Patent: November 2, 1999
    Assignee: CVC Products, Inc.
    Inventors: Mehrdad M. Moslehi, Yong Jin Lee, Ahmad Kermani
  • Patent number: 5976309
    Abstract: An electrode assembly for a plasma reactor used in connection with fabrication or manufacture of semiconductor devices. The electrode assembly includes an anode having, a top side that includes a pedestal adapted to support a wafer and defines an annular void that preferably surrounds the pedestal and extends to an outer periphery of the top side. The electrode assembly also includes a ring removably received within the annular void so that the ring extends from the pedestal and covers substantially the entire portion of the top side of the anode save the pedestal. The thickness of the ring is slightly less than the height of the pedestal so that the top surface of the ring is located below the top surface of the pedestal. When the wafer is supported by the pedestal during fabrication of a semiconductor device, the wafer extends beyond the circumference of the pedestal, and a gap is defined between the wafer and the removable ring. The removable ring can be quickly and easily removed and replaced.
    Type: Grant
    Filed: December 17, 1996
    Date of Patent: November 2, 1999
    Assignee: LSI Logic Corporation
    Inventor: Carl W. Almgren
  • Patent number: 5975011
    Abstract: An apparatus and method for fabricating integrated circuits according to which a plurality of members of a semiconductor material are continuously introduced into a chamber. A process gas is introduced into the chamber in a direction extending at an angle to the direction of passage of the members into the chamber in a manner so that the gas contacts the members during its passage through the chamber to treat the members.
    Type: Grant
    Filed: December 22, 1997
    Date of Patent: November 2, 1999
    Assignee: Ball Semiconductor, Inc.
    Inventors: T. Ohkusa, Ram K. Ramamurthi
  • Patent number: 5970907
    Abstract: To improve the processing rate and uniformity in a plasma processing for a substrate having a relatively large area, a plasma processing apparatus includes a reaction vessel which has a portion made of a dielectric member, which accommodates a film formation substrate, and which can be evacuated, an evacuating means and a gas supply means for supplying a predetermined gas into the reaction vessel, a cathode electrode arranged in a position outside the reaction vessel where the cathode electrode opposes the film formation substrate accommodated in the reaction vessel via the dielectric member, and a high frequency power supply means (a matching circuit and a high frequency power supply) for supplying high frequency power of 30 MHz to 300 MHz to the cathode electrode. The high frequency power of 30 MHz to 300 MHz is supplied to the cathode electrode to generate a plasma between the dielectric member and the film formation substrate.
    Type: Grant
    Filed: January 27, 1997
    Date of Patent: October 26, 1999
    Assignee: Canon Kabushiki Kaisha
    Inventors: Satoshi Takai, Atsushi Yamagami, Nobuyuki Okamura
  • Patent number: 5972435
    Abstract: The present invention manifests a highly excellent effect of allowing the plasma polymerization film to be formed stably for a long time while very rarely suffering occurrence of abnormal discharge during the formation of the plasma polymerization film and promoting the improvement of the yield of products because it adopts as the electrode for implementing plasma polymerization that of the electrodes which is located on the side confronting a surface on which the plasma polymerization film is formed, coats this electrode with a polymer material at a covering ratio in the range of 50-100%, and effects the formation of the plasma polymerization film on an elongate substrate under the operating pressure in the range of 10.sup.-3 -1 Torr. Further, the properties of the plasma polymerization film also become highly excellent.
    Type: Grant
    Filed: August 24, 1998
    Date of Patent: October 26, 1999
    Assignee: TDK Corporation
    Inventors: Mitsuru Takai, Shinji Miyazaki, Kunihiro Ueda, Hiromichi Kanazawa
  • Patent number: 5968276
    Abstract: The present invention provides a method and apparatus for improving thermal management of gas being delivered to a chemical vapor deposition chamber. Thermal management is accomplished using a heat transfer fluid in thermal communication with the deposition gas passageways delivering the gases to the chamber for deposition. The gas injection manifold includes gas passageways and coolant liquid passageways, wherein the gas passageways extend through a constant voltage gradient gas feedthrough and the coolant liquid passageways extend through a gas input manifold coupled to the inlet end of the constant voltage gradient gas feedthrough. This arrangement provides for increase coolant liquid flow and allows maintenance or disassembly of the constant voltage gradient gas feedthrough without breaking the seal on the coolant liquid system.
    Type: Grant
    Filed: July 11, 1997
    Date of Patent: October 19, 1999
    Assignee: Applied Materials, Inc.
    Inventors: Lawrence Lei, Son Trinh, Mark Johnson
  • Patent number: 5968274
    Abstract: The present invention aims to provide a continuous forming method and apparatus for functional deposited films having excellent characteristics while preventing any mutual mixture of gases between film forming chambers having different pressures, wherein semiconductor layers of desired conductivity type are deposited on a strip-like substrate within a plurality of film forming chambers, by plasma CVD, while the strip-like substrate is moved continuously in a longitudinal direction thereof through the plurality of film forming chambers connected via gas gates having means for introducing a scavenging gas into a slit-like separation passage, characterized in that at least one of the gas gates connecting the i-type layer film forming chamber for forming the semiconductor junction and the n- or p-type layer film forming chamber having higher pressure than the i-type layer film forming chamber has the scavenging gas introducing position disposed on the n- or p-type layer film forming chamber side off from the cent
    Type: Grant
    Filed: November 20, 1996
    Date of Patent: October 19, 1999
    Assignee: Canon Kabushiki Kaisha
    Inventors: Yasushi Fujioka, Shotaro Okabe, Masahiro Kanai, Takehito Yoshino, Akira Sakai, Tadashi Hori
  • Patent number: 5968273
    Abstract: Disclosed is a wafer stage allowing a plasma process under a heating condition at a high temperature, particularly, 400.degree. C. or more using the improved electrostatically chucking technology with the increased temperature-controllability. The wafer stage includes an electrostatic chuck and a temperature adjusting jacket disposed under said electrostatic chuck. The electrostatic chuck includes: a dielectric member made from an insulating material; an electrode formed of a brazing layer, which is disposed on the underside of said dielectric member for fixing said dielectric member; an aluminum nitride plate disposed on the underside of said electrode, to which said dielectric member is fixed through said electrode; a heater, disposed on the underside of said aluminum nitride plate, for heating said dielectric member; and a metal plate disposed on the underside of said aluminum nitride plate and also at least on a top or bottom side of said heater.
    Type: Grant
    Filed: August 13, 1997
    Date of Patent: October 19, 1999
    Assignee: Sony Corporation
    Inventors: Shingo Kadomura, Tomohide Jozaki, Shinsuke Hirano, Kinya Miyashita, Yoshiaki Tatsumi, Seiichirou Miyata
  • Patent number: 5964947
    Abstract: A substrate processing chamber, particularly a chemical vapor deposition (CVD) chamber used both for thermal deposition of a conductive material and a subsequently performed plasma process. The invention reduces thermal deposition of the conductive material in a pumping channel exhausting the chamber. The pumping channel is lined with various elements, some of which are electrically floating and which are designed so that conductive material deposited on these elements do not deleteriously affect a plasma generated for processing the wafer.
    Type: Grant
    Filed: May 16, 1997
    Date of Patent: October 12, 1999
    Assignee: Applied Materials, Inc.
    Inventors: Jun Zhao, Ashok Sinha, Avi Tepman, Mei Chang, Lee Luo, Alex Schreiber, Talex Sajoto, Stefan Wolff, Charles Dornfest, Michal Danek
  • Patent number: 5961726
    Abstract: The deposited film forming apparatus of the present invention capable of forming a deposited film having a small number of structural defects and a light-receiving member for electrophotography for forming a light-receiving member for electrophotography having excellent image characteristics, which comprises means for supplying a source gas into a reaction vessel capable of reducing a pressure in which said substrate is arranged, and high-frequency power supply means for supplying a high-frequency power into said reaction vessel in which said substrate is arranged, the source gas being decomposed by the high-frequency power to be able to form a deposited film on the substrate, wherein a supply portion of said high-frequency power supply means is constituted by a plurality of members.
    Type: Grant
    Filed: December 22, 1995
    Date of Patent: October 5, 1999
    Assignee: Canon Kabushiki Kaisha
    Inventor: Tatsuyuki Aoike
  • Patent number: 5959409
    Abstract: Non-bonded ceramic protection is provided for metal surfaces in a plasma processing chamber, particularly heated metal electrode surfaces, in a plasma processing chamber, to prevent or inhibit attack of the heated metal surfaces by chemically aggressive species generated in the plasma during processing of materials, without bonding the ceramic material to the metal surface. In accordance with the invention the ceramic protection material comprises a thin cover material which is fitted closely, but not bonded, to the heated metal. This form of ceramic protection is particularly useful for protecting the surfaces of glow discharge electrodes and gas distribution apparatus in plasma process chambers used for processing semiconductor substrates to form integrated circuit structures.
    Type: Grant
    Filed: August 13, 1997
    Date of Patent: September 28, 1999
    Assignee: Applied Materials, Inc.
    Inventors: Charles N. Dornfest, John M. White, Craig A. Bercaw, Hiroyuki Steven Tomosawa, Mark A. Fodor
  • Patent number: 5948168
    Abstract: A plasma reactor has plural dielectric gas injection tubes extending from a gas injection source and through a microwave guide and into the top of the reactor chamber. The semiconductor wafer rests near the bottom of the chamber on a wafer pedestal connected to a bias RF power source which is controlled independently of the microwave source coupled to the microwave guide. The microwaves from the waveguide ignite and maintain a plasma in each of the tubes. Gas flow through the tubes carries the plasmas in all the tubes into the chamber and into contact with the wafer surface.
    Type: Grant
    Filed: October 9, 1997
    Date of Patent: September 7, 1999
    Assignee: Applied Materials, Inc.
    Inventors: Hongching Shan, Harald Herchen, Michael Welch
  • Patent number: 5948283
    Abstract: The invention provides method and apparatus that control the thermal environment of the first substrate or substrate of series of substrates treated by a uniform direct-plasma, in order to reduce first-wafer effect. By providing supplemental heat to the substrate in treatment or, equivalently, reducing the rate of heat extraction from the substrate in treatment, early in the series, the invention creates steady-state process conditions that reduce substrate-to-substrate variability in process outcome.
    Type: Grant
    Filed: June 28, 1996
    Date of Patent: September 7, 1999
    Assignee: Lam Research Corporation
    Inventor: Paul F. Grosshart
  • Patent number: 5948224
    Abstract: A vacuum treatment apparatus eliminate arcing in a vacuum recipient for containing an atmosphere and having a mechanism for generating electrical charge carriers in the atmosphere. A workpiece carrier arrangement and at least two electro-conductive surfaces are in the recipient and a generator unit having an output is connected to the electro-conductive surfaces. The generator includes a DC generator with an output, and a controlled adjusting unit with an input connected to the output of the DC generator. The controlled adjusting unit generates a first output signal in dependency on an output signal of the DC generator during first timespans, and a second output signal during second timespans. The unit may also have a time-controlled discharge or charge current loop connected from one of the electro-conductive surfaces to the other, with a higher ohmic resistance during the first timespans and a lower ohmic resistance during the second timespans.
    Type: Grant
    Filed: July 2, 1997
    Date of Patent: September 7, 1999
    Assignee: Balzers Aktiengsellschaft
    Inventors: Hans Signer, Eduard Kugler, Klaus Wellerdieck, Helmut Rudigier, Walter Haag
  • Patent number: 5948167
    Abstract: A thin film deposition apparatus which includes a mesh type radio frequency (RF) electrode plate adapted to form plasma, a baffle guide adapted to prevent the plasma from diffusing at a low pressure, and a control unit adapted to perform control of temperature for preventing a reacting raw material from generating a degraded reaction in a gas injector, thereby enabling formation of a multi-element thin film using a multi-element reacting raw material and formation of a uniform thin film having a high density to obtain micro patterns of highly integrated semiconductor devices.
    Type: Grant
    Filed: September 27, 1996
    Date of Patent: September 7, 1999
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Yong Ku Baek, Young Jin Park, Jong Choul Kim
  • Patent number: 5948166
    Abstract: A process and apparatus for deposition of a carbon-rich coating onto a moving substrate is provided. The process and apparatus involve the creation of an electric field surrounding a rotatable electrode in a carbon-containing gaseous environment. This results in carbon-rich plasma formation, wherein the electrode is negatively biased with respect to the electrode which results in ion acceleration from the plasma toward the electrode. Ion bombardment continuously occurs on a substrate in contact with the electrode producing a continuous carbon-rich coating over the length of the substrate.
    Type: Grant
    Filed: September 26, 1997
    Date of Patent: September 7, 1999
    Assignee: 3M Innovative Properties Company
    Inventors: Moses M. David, Donald J. McClure, Stephen P. Maki
  • Patent number: 5945008
    Abstract: The present invention provides a method for plasma control, in which an electric field is generated in the direction perpendicular to the surface of an object to be processed in plasma atmosphere generated in a processing chamber and another electric field is generated in the direction parallel to the surface, and the direction of ion or electron in plasma atmosphere is controlled by controlling the composite electric field composed of both the electric fields. The invention provides also an apparatus for plasma control provided with a perpendicular electric field generating means for generating an electric field in the direction perpendicular to the surface of the object, and a parallel electric field generating means for generating an electric field in the direction parallel to the surface of the object.
    Type: Grant
    Filed: September 26, 1995
    Date of Patent: August 31, 1999
    Assignee: Sony Corporation
    Inventors: Toshiro Kisakibaru, Akira Kojima, Yasushi Kato, Isao Honbori, Satoshi Bannai, Tomohiro Chiba, Toshitaka Kawashima
  • Patent number: 5944942
    Abstract: Gas diffuser bolt (35) is used to improve process gas flow from near the center of process chamber (40) across workpiece (58) to exhaust ports near the periphery of process chamber (40), process nonuniformity is improved by adjusting the vertical position of each varying magnetic pole (10) relative to two-pole rounded oblong dielectric window (22), differential driving of metal voltage reference plate (18) and workpiece electrode (60) reduce the voltage between the plasma and process chamber (40), reducing particulates and improving efficiency, and temperature control of metal voltage reference plate (18) improves process chamber (40) cleaning.
    Type: Grant
    Filed: March 4, 1998
    Date of Patent: August 31, 1999
    Inventor: John Seldon Ogle
  • Patent number: 5944940
    Abstract: A wafer transfer system is described for transferring a wafer while at substantially the same time another wafer is being processed. The wafer transfer system comprises, in one embodiment, a transfer chamber having a wafer transfer blade, a load lock chamber coupled to the transfer chamber, an atmospheric robot for loading and unloading the wafer into the load lock chamber, and a slider coupled to the wafer transfer blade for moving the wafer transfer blade between the transfer chamber and the load lock chamber. According to a preferred embodiment, the slider utilizes a magnetic coupling mechanism. In a further embodiment, a device comprising a transfer chamber coupled to a plurality of plasma sources capable of simultaneously or sequentially providing different plasma structures within the transfer chamber, is described.
    Type: Grant
    Filed: July 8, 1997
    Date of Patent: August 31, 1999
    Assignee: Gamma Precision Technology, Inc.
    Inventor: Masato Toshima
  • Patent number: 5942042
    Abstract: Apparatus for supporting a wafer in a semiconductor wafer processing system. The apparatus contains a pedestal assembly, a ring assembly circumscribing the pedestal and an insulator between the pedestal assembly and ring assembly. The insulator electrically isolates the pedestal assembly from the ring assembly thereby preventing unwanted power coupling through the ring assembly.
    Type: Grant
    Filed: May 23, 1997
    Date of Patent: August 24, 1999
    Assignee: Applied Materials, Inc.
    Inventor: James Van Gogh
  • Patent number: 5942039
    Abstract: An electrically activated focus ring (90) for plasma processing a substrate (25) in a plasma zone comprises a dielectric barrier (92) with a plasma focusing surface (95) for focusing the plasma onto the substrate surface, and an opposing surface (98). The focus ring (90) comprises an electrical conductor element (100) abutting at least a portion of the opposing surface (98) of the dielectric barrier (92). The conductor element (100) is electrically isolated from the plasma and capable of being electrically charged to attract the plasma to reduce formation of deposits on the plasma focusing surface (95) of the dielectric barrier (92).
    Type: Grant
    Filed: May 1, 1997
    Date of Patent: August 24, 1999
    Assignee: Applied Materials, Inc.
    Inventors: Arnold Kholodenko, Steve S.Y. Mak
  • Patent number: 5942075
    Abstract: A plasma processing apparatus includes a processing vessel for accommodating an object to be processed, a processing supplying mechanism for supplying processing gas into the processing vessel, first and second electrodes arranged to oppose each other in the processing vessel, and high-frequency power supply for supplying a high-frequency power to at least one of the first and second electrodes. The apparatus forms a plasma of the processing gas by using discharge occurring between the first and second electrodes due to the high-frequency power and performs a plasma process for the object by using the plasma. The surface of a solid except for the object to be processed in the processing vessel has a corner portion and a portion other than the corner portion, and the solid surface has a shape by which the thickness of a sheath formed between the solid surface and the plasma is nearly uniform in the corner portion and the other portion.
    Type: Grant
    Filed: June 17, 1996
    Date of Patent: August 24, 1999
    Assignee: Tokyo Electron Limited
    Inventors: Kazunori Nagahata, Kazuya Nagaseki
  • Patent number: 5935391
    Abstract: A rod-like electrode is disposed in a vacuum container, a ring-like electrode is disposed around the rod-like electrode, a tube to be processed is disposed such that the tube is substantially continuous to the ring-like electrode, an interior of the vacuum container is set to a predetermined degree of vacuum for deposition, a gas is introduced into a space between the electrodes, an electric power for forming plasma from the gas is applied while applying a magnetic field, and the plasma produced thereby is supplied into the tube. If the deposition material gas is used, the film is formed on the inner peripheral surface of the tube. If the plasma source gas for sputtering is used, a sputtering voltage is applied to a sputtering target disposed inside the tube, so that the film is formed on the inner peripheral surface of the tube by sputtering the target with ions in the plasma.
    Type: Grant
    Filed: January 31, 1995
    Date of Patent: August 10, 1999
    Assignee: Nissin Electric Co., Ltd.
    Inventors: Takahiro Nakahigashi, Hajime Kuwahara, Hiroshi Fujiyama
  • Patent number: 5935455
    Abstract: A method for excitation of a plasma, characterized in that it comprises the step of subjecting a gas to an electric field generated by an electrode system comprising n electrodes, n being an integer greater than or equal to 3, preferably between 3 and 30, each of the n electrodes being connected to one of the following AC voltages: ##EQU1## where: f is a frequency in the range of 10 to 10000 Hz, preferably 30 to 200 Hz, more preferably 50 to 60 Hz, U.sub.0 is a voltage in the range of 50 to 10000 V,at least one electrode being connected to U.sub.r, at least one electrode being connected to Us and at least one electrode being connected to U.sub.t. The invention also concerns an electrode system for carrying out the method.
    Type: Grant
    Filed: May 1, 1996
    Date of Patent: August 10, 1999
    Assignee: NKT Research Center A/S
    Inventor: Kristian Glejb.o slashed.l
  • Patent number: 5928528
    Abstract: A reactive gas supplied to a chamber 1 is put into plasma by supplying radio frequency power to the chamber 1 intermittently or while repeating high and low levels alternately and a specimen A in the chamber 1 is treated by the plasma. A positive pulse-like bias voltage synchronized with a period in which the radio frequency power is not supplied or a period in which low-level power is supplied is applied to the specimen A for preventing charging.
    Type: Grant
    Filed: September 2, 1997
    Date of Patent: July 27, 1999
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Masafumi Kubota, Shigenori Hayashi, Michinari Yamanaka, Kenji Harafuji
  • Patent number: 5928427
    Abstract: An improved apparatus for a lower pressure chemical vapor deposition capable of achieving various kinds of thin films having a uniform thickness, preventing parts breakage, achieving automation of the system, and combining the use of a low pressure chemical vapor deposition apparatus and a plasma low pressure chemical vapor deposition apparatus, which includes a deposition base; a reactor disposed on the deposition base and having a reaction region formed therein; a substrate lifted and lowered in the reactor and on which a wafer is placed; a chemical source gas introducer for introducing a chemical source gas into the reactor; a substrate heating member disposed in the substrate for heating the wafer; and a reactor heating member for heating the reactor.
    Type: Grant
    Filed: December 13, 1995
    Date of Patent: July 27, 1999
    Inventor: Chul-Ju Hwang
  • Patent number: 5922134
    Abstract: In a simultaneous discharge device for discharging inside of two chambers 4, 14 simultaneously by a single high-frequency power supply P, electric power dividing means 20 connects high-frequency power supply P to two chambers 4, 14, wherein electric power dividing means 20 comprises a first conductor plate 21 which connects the respective electrodes of the two chambers 4,14, a second conductor plate 23 which connects to the end of Rf cables 22 in said high-frequency power supply P and a conductor bar 24 which connects electrically the first and second conductor plates at a plurality of positions so that an impedance between the power supply and each of the chambers becomes equal.
    Type: Grant
    Filed: May 14, 1997
    Date of Patent: July 13, 1999
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventor: Kazuto Ohbuchi
  • Patent number: 5919310
    Abstract: A continuous film-forming apparatus includes a plurality of reaction chambers each capable of forming a semiconductor film with a different chemical composition. The reaction chambers are arranged such that a substrate web on which a film is to be formed can be hermetically moved through each of the reaction chambers under a vacuum condition. A gas gate is disposed at a central position between each pair of adjacent reaction chambers, with each gas gate provided with a slit for communication between the adjacent reaction chambers.
    Type: Grant
    Filed: February 29, 1996
    Date of Patent: July 6, 1999
    Assignee: Canon Kabushiki Kaisha
    Inventors: Yasushi Fujioka, Shotaro Okabe, Masahiro Kanai, Takehito Yoshino, Akira Sakai, Tadashi Hori
  • Patent number: 5919332
    Abstract: A lower insulating member 13 is arranged around a suscepter 6 as a lower electrode, and an upper insulating member 31 is arranged around an upper electrode 21. An outer end portion 31a of the upper insulating member is positioned outside an lower insulating member 13, to be lower than the upper surface of a wafer W. The narrowest distance between the lower insulating member 13 and the upper insulating member 31 is arranged to be smaller than a gap G between electrodes. Diffusion of a plasma generated between electrodes is restricted and prevented from spreading to the sides, so that inner walls of a processing container 3 are not sputtered.
    Type: Grant
    Filed: June 6, 1996
    Date of Patent: July 6, 1999
    Assignee: Tokyo Electron Limited
    Inventors: Akira Koshiishi, Masahiro Ogasawara, Keizo Hirose, Kazuya Nagaseki, Riki Tomoyoshi, Makoto Aoki
  • Patent number: 5911832
    Abstract: Method and apparatus for treating a workpiece implantation surface by causing ions to impact the workpiece implantation surface. An implantation chamber defines a chamber interior into which one or more workpieces can be inserted and includes a conductive inner wall portion in proximity to the chamber interior. A conductive workpiece support extends into an interior region of the implantation chamber. A conductive electrode is disposed within said implantation chamber relative to said conductive workpiece support to allow workpieces to be placed on the workpiece support in a region between the support and the conductive electrode. Gas molecules are injected into the implantation chamber to cause the gas molecules to occupy a region of the implantation chamber in close proximity to the one or more workpieces. The gas molecules are ionized near an implant surface of the workpieces.
    Type: Grant
    Filed: January 9, 1997
    Date of Patent: June 15, 1999
    Assignee: Eaton Corporation
    Inventors: A. Stuart Denholm, Jiqun Shao
  • Patent number: 5908508
    Abstract: The apparatus includes a gas diffuser plate having an integral heat pipe for accurately controlling the temperature of the diffuser plate during CVD processing to prevent unwanted tungsten (or other material) deposition on the diffuser plate. The apparatus is also useful as an RF plasma cleaning device in which the diffuser plate acts as an RF electrode, the heat pipe tube acts as an RF input lead, and the apparatus further includes a connector to an RF power source. Additionally, in combination, the heat pipe-cooled gas diffuser plate and RF electrode may be used advantageously in plasma enhanced chemical vapor deposition (PECVD).
    Type: Grant
    Filed: May 30, 1995
    Date of Patent: June 1, 1999
    Assignee: Tokyo Electron Limited
    Inventors: James Vanell, Al Garcia
  • Patent number: 5904779
    Abstract: A substrate lifting arrangement for use in a plasma processing chamber. The plasma processing chamber has a chuck configured for supporting a substrate during processing of the substrate within the plasma processing chamber. The substrate lilting arrangement includes at least one substrate engaging element movable between a first position in which the substrate engaging element does not engage the substrate and a second position in which the substrate engaging element engages the substrate and lifts the substrate off the chuck. The substrate lifting arrangement further includes an actuator coupled to the substrate engaging element. The actuator controls movement of the substrate engaging element between the first and second positions. There is firer included a resistance arrangement coupled to the substrate engaging element. The resistance arrangement limits a current flowing from the substrate to ground through the resistance arrangement.
    Type: Grant
    Filed: December 19, 1996
    Date of Patent: May 18, 1999
    Assignee: LAM Research Corporation
    Inventors: Rajinder Dhindsa, Steven Franchuk, Carlos Manzanilla, Ken E. Tokunaga
  • Patent number: 5904800
    Abstract: The present invention incorporates an electrically-controlled grid (250) between a liner (220) and an isolation region (252) of a processing chamber (210). The electrically-controlled grid (250) is powered during a processing step of a semiconductor substrate (230) such that particles (235) suspended in the processing chamber (212) are attracted toward the grid (250) and away from the semiconductor substrate (230). A non-adhesive liner (220) is utilized to allow particles (235) and polymers to be directed toward a pumping port (239).
    Type: Grant
    Filed: February 3, 1997
    Date of Patent: May 18, 1999
    Assignee: Motorola, Inc.
    Inventor: Karl Emerson Mautz
  • Patent number: 5904487
    Abstract: An electrode reshaping process and apparatus is provided for use in a semiconductor etching device. A wafer is place between upper and lower electrodes of the semiconductor etching device. The apparatus and method selectively adjusts the shape of an upper electrode in the semiconductor etching device to compensate for non-uniformities inherent in the etching device. One or more motors attached to the upper electrode provide the electrode shaping forces in accordance with information provided by etch rate variation models stored in a host computer. With the shape of the upper electrode adjusted, the wafer can be etched more uniformally.
    Type: Grant
    Filed: October 8, 1996
    Date of Patent: May 18, 1999
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Michael R. Conboy, Elfido Coss, Jr.
  • Patent number: 5904776
    Abstract: The present invention discloses a two basic structures (including multiple variations within one of the basic structures) and methods for fabrication of the structures which facilitate the flow of cooling gas or other heat transfer fluid to the surface of an electrostatic chuck. The basic structures address both the problem of breakdown of a heat transfer gas in an RF plasma environment and the problem of arcing between a semiconductor substrate and the conductive pedestal portion of the electrostatic chuck in such an RF plasma environment.
    Type: Grant
    Filed: September 8, 1997
    Date of Patent: May 18, 1999
    Assignee: Applied Materials, Inc.
    Inventors: Arik Donde, Dan Maydan, Robert J. Steger, Edwin C. Weldon, Brian Lue, Timothy Dyer
  • Patent number: 5902494
    Abstract: A method and apparatus for preventing particles from dislodging from the interior of a process chamber by preventing DC bias spikes. Such DC bias spikes can be caused by variations in the power or pressure in a process chamber. DC bias spikes are prevented by ramping changes in the pressure at a rate which avoids the creation of such spikes. RF power is ramped down at a rate which avoids spikes.
    Type: Grant
    Filed: February 9, 1996
    Date of Patent: May 11, 1999
    Assignee: Applied Materials, Inc.
    Inventors: Anand Gupta, Stefan Wolff, Maria Galiano
  • Patent number: 5902405
    Abstract: A plasma processing apparatus has a second electrode set parallel to a first electrode to be set in a deposition chamber capable of being set in an airtight vacuum, and an RF power supply path for applying an RF power having a discharge frequency of 20 MHz to 450 MHz to the second electrode. In the plasma processing apparatus, a high-voltage capacitor having a small capacitance is arranged on the RF power supply path. With this arrangement, the plasma processing apparatus capable of uniformly, stably processing a relative large base with a plasma at a high processing speed is provided. Further, the plasma processing apparatus in which the manufacturing time can be shortened and cost can be decreased is provided.
    Type: Grant
    Filed: June 3, 1997
    Date of Patent: May 11, 1999
    Assignee: Canon Kabushiki Kaisha
    Inventors: Shigenori Ueda, Junichiro Hashizume, Shinji Tsuchida
  • Patent number: 5900105
    Abstract: A wafer transfer system is described for transferring a wafer while at substantially the same time another wafer is being processed. The wafer transfer system comprises, in one embodiment, a transfer chamber having a wafer transfer blade, a load lock chamber coupled to the transfer chamber, an atmospheric robot for loading and unloading the wafer into the load lock chamber, and a slider coupled to the wafer transfer blade for moving the wafer transfer blade between the transfer chamber and the load lock chamber. According to a preferred embodiment, the slider utilizes a magnetic coupling mechanism and a plurality of plasma sources are coupled to the transfer chamber. The plurality of plasma sources are arranged in a plurality of pairs of plasma sources. Each plasma source in a pair of plasma sources share the other plasma source's induction coils.
    Type: Grant
    Filed: July 9, 1996
    Date of Patent: May 4, 1999
    Assignee: Gamma Precision Technology, Inc.
    Inventor: Masato Toshima
  • Patent number: 5900103
    Abstract: A plasma treatment method comprising exhausting a process chamber so as to decompress the process chamber, mounting a wafer on a suscepter, supplying a process gas to the wafer through a shower electrode, applying high frequency power, which has a first frequency f.sub.1 lower than an inherent lower ion transit frequencies of the process gas, to the suscepter, and applying high frequency power, which has a second frequency f.sub.2 higher than an inherent upper ion transit frequencies of the process gas, whereby a plasma is generated in the process chamber and activated species influence the wafer.
    Type: Grant
    Filed: April 19, 1995
    Date of Patent: May 4, 1999
    Assignee: Tokyo Electron Limited
    Inventors: Masayuki Tomoyasu, Akira Koshiishi
  • Patent number: 5900284
    Abstract: Methods and devices for producing plasmas of more uniform density and greater height than plasmas generated by previously known magnetron-type plasma-generating devices. The present invention utilizes electrodes containing multiple magnets positioned such that like magnetic poles of the magnets are all facing in substantially the same direction.
    Type: Grant
    Filed: September 24, 1997
    Date of Patent: May 4, 1999
    Assignee: The Dow Chemical Company
    Inventor: Ing-Feng Hu
  • Patent number: 5900064
    Abstract: A process chamber (14) for processing a substrate (12) in a plasma, comprises a support for supporting the substrate having a surface with a perimeter (32). A gas distributor is provided for distributing process gas into the chamber (14). A plasma generator (40) is used to generate a plasma comprising plasma species from the process gas. A plurality of electrical ground pathways (80) around the perimeter (32) of the substrate (12) are spaced apart, electrically isolated from one another, and provide electrical paths to ground for the charge carried by the plasma species. Preferably, the ground pathways (80) extend through a dielectric surface (70) abutting and extending substantially continuously around the perimeter (32) of the substrate (12).
    Type: Grant
    Filed: May 1, 1997
    Date of Patent: May 4, 1999
    Assignee: Applied Materials, Inc.
    Inventor: Arnold Kholodenko
  • Patent number: 5897711
    Abstract: A method and an apparatus for depositing a dielectric film on a substrate in a plasma process chamber wherein the uniformity of the refractive index of the film is improved. The method involves introducing an oxygen reactant and a silicon reactant into the process chamber and generating a plasma, contacting the substrate with the plasma and depositing a SiO.sub.x film thereon. To control uniformity of the refractive index of the film, a second oxygen reactant is injected locally at a position at which it is desired to lower the refractive index. The second oxygen reactant can be O.sub.2 which is injected at the periphery of the substrate. The gas injection apparatus includes a substrate support below the substrate and a deposition shield surrounding the substrate. The shield contains gas injection outlets directed toward the periphery of the substrate for supplying the O.sub.2 to a region above the outer periphery of the substrate.
    Type: Grant
    Filed: December 22, 1995
    Date of Patent: April 27, 1999
    Assignee: Lam Research Corporation
    Inventor: Dean R. Denison
  • Patent number: 5893962
    Abstract: An electrode unit of a thermal CVD apparatus is used to generate plasma discharge for an in-situ cleaning process. The electrode unit is configured by a substrate holder and a shield member connected to a high frequency power supply, the gas supply section electrically grounded, and an auxiliary electrode disposed in the gas supply section. In a film deposition process, a reactive gas is supplied from the gas supply section, and the reactive gas is excited in a space in front of a substrate to deposit a thin film onto the substrate. In a periodical in-situ cleaning process, a cleaning gas is supplied from the gas supply section and a cleaning discharge is generated to remove unwanted films deposited on the substrate holder and the shield member. The auxiliary electrode causes the cleaning discharge to be concentrated in a space around unwanted films.
    Type: Grant
    Filed: September 9, 1996
    Date of Patent: April 13, 1999
    Assignee: Anelva Corporation
    Inventors: Shigeru Mizuno, Manabu Tagami, Takanori Yoshimura
  • Patent number: 5891349
    Abstract: A plasma enhanced CVD apparatus includes a processing chamber, a pumping system for evacuating the processing chamber, a gas inlet system for introducing a source gas, and a plasma generating electrode provided in the processing chamber for depositing a film on a substrate in the processing chamber by plasma generated by electrical power supplied to the plasma generating electrode; the plasma generating electrode has two terminals, one of the terminals is connected to a radio frequency power source and other of the terminals is grounded through an electrode potential controlling system; and the processing chamber is grounded through an inner wall potential controlling system. The present invention is further directed to a plasma enhanced CVD process, a dry etching apparatus, and a dry etch process.
    Type: Grant
    Filed: October 3, 1996
    Date of Patent: April 6, 1999
    Assignee: Anelva Corporation
    Inventors: Ryoki Tobe, Masao Sasaki, Atsushi Sekiguchi, Ken-ichi Takagi
  • Patent number: 5891252
    Abstract: A plasma processing apparatus in which power consumption is reduced, which can generate uniform plasma in a large range and in which minute processing in high etching selectivity and in high aspect ratio is enabled is disclosed. High density plasma is generated in a vacuum vessel housing a processed sample utilizing an electron cyclotron resonance phenomenon caused by an electromagnetic wave in an ultra-high frequency band and a magnetic field and the surface of the processed sample is etched using this plasma. An electromagnetic wave in an ultra-high frequency band for generating plasma is radiated from a planar conductive plate consisting of graphite or silicon which is arranged opposite to the surface of the processed sample into space inside the vacuum vessel. High density plasma in the low degree of dissociation can be generated by using an electromagnetic wave in an ultra-high frequency band and as a result, the controllability of etching reaction can be enhanced.
    Type: Grant
    Filed: December 13, 1996
    Date of Patent: April 6, 1999
    Assignee: Hitachi, Ltd.
    Inventors: Ken'etsu Yokogawa, Tetsuo Ono, Kazunori Tsujimoto, Naoshi Itabashi, Masahito Mori, Shinichi Tachi, Keizo Suzuki
  • Patent number: 5891350
    Abstract: A method of adjusting the cathode DC bias in a plasma chamber for fabricating semiconductor devices. A dielectric shield is positioned between the plasma and a selected portion of the electrically grounded components of the chamber, such as the electrically grounded chamber wall. The cathode DC bias is adjusted by controlling one or more of the following parameters: (1) the surface area of the chamber wall or other grounded components which is blocked by the dielectric shield; (2) the thickness of the dielectric; (3) the gap between the shield and the chamber wall; and (4) the dielectric constant of the dielectric material. In an apparatus aspect, the invention is a plasma chamber for fabricating semiconductor devices having an exhaust baffle with a number of sinuous passages. Each passage is sufficiently long and sinuous that no portion of the plasma within the chamber can extend beyond the outlet of the passage.
    Type: Grant
    Filed: June 20, 1996
    Date of Patent: April 6, 1999
    Assignee: Applied Materials, Inc.
    Inventors: Hong Ching Shan, Evans Yip Lee, Michael D Welch, Robert W Wu, Bryan Pu, Paul Ernest Luscher, James David Carducci, Richard Blume
  • Patent number: 5888338
    Abstract: The invention provides a novel magnetron plasma processing apparatus comprising the following, a vacuum chamber storing an etching object, the first electrode which is provided in the vacuum chamber and holds the etching object, the second electrode which is disposed in opposition from the first electrode, where the first and second electrodes are in parallel with each other, a gas-supply unit feeding etching gas to the vacuum chamber, a magnetic-field generating means which is disposed on the part opposite from the first electrode in opposition from the second electrode, and a power-supply unit which feeds power to either of these first and second electrodes and generates discharge between these parallel electrodes. Magnetic-field generating means is provided with a magnetic block whose both-end surfaces are provided with magnetic poles having polarity inverse from each other, and in addition, a plane recess opposite from the second electrode is provided between both-end surfaces of the magnetic block.
    Type: Grant
    Filed: March 27, 1997
    Date of Patent: March 30, 1999
    Assignees: Tokyo Electron Limited, Kabushiki Kaisha Toshiba
    Inventors: Hiromi Harada, Sinji Kubota, Hiromi Kumagai, Junichi Arami, Keiji Horioka, Isahiro Hasegawa, Haruo Okano, Katsuya Okumura, Yukimasa Yoshida