Work Support Patents (Class 118/728)
  • Patent number: 10403476
    Abstract: An active showerhead used for a plasma reactor is described. The active showerhead includes a plurality of substrate layers. The substrate layers include at least one actuator and transfer component. The actuator and transfer component is coupled to a gas line via a gas channel. The active showerhead further includes an electrode layer located below the substrate layers. The electrode layer and the actuator and transfer component both share an opening. The actuator and transfer component allows passage of one or more process gases received from the gas line and the gas channel into the opening without the need for a conventional gas box.
    Type: Grant
    Filed: November 9, 2016
    Date of Patent: September 3, 2019
    Assignee: Lam Research Corporation
    Inventors: Mariusch Gregor, Thorsten Lill, David Trussell
  • Patent number: 10395969
    Abstract: Embodiments herein include a halo having varied conductance. In some embodiments, a halo surrounding a semiconductor workpiece may include a first side opposite a second side, and a first end opposite a second end, wherein the first side is operable to receive an ion beam from an ion source. The halo may further include a plurality of apertures extending between the first side and the second side, wherein the plurality of apertures permit passage of a portion of the ion beam to pass therethrough, and wherein the halo has a varied conductance between the first and second ends. In some embodiments, at least a group of apertures of the plurality of apertures vary in at least one of: pitch, and diameter. In some embodiments, a thickness of the halo between the first side and the second side varies along a height extending between the first end and the second end.
    Type: Grant
    Filed: November 3, 2017
    Date of Patent: August 27, 2019
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Costel Biloiu, Ernest Allen, Frank Sinclair
  • Patent number: 10392723
    Abstract: A reaction chamber of a reactor for epitaxial growth includes a wall (1) with a recess and a susceptor (7) comprising a body and a relief. The body is placed in said recess in a rotational manner with respect to said wall (1). The chamber includes a discoid supporting element (8), having a shape adapted to be laid stably on said relief, having a size such to protrude radially from said relief and adapted to support one or more substrates to be subjected to epitaxial growth. The chamber also includes a flat covering (91, 92) located over said wall (1) and a hole (10) at said discoid supporting element (8). The shape of said hole (10) corresponds to the shape of said discoid supporting element (8). The covering (92) has at least one hollow guide (11, 12) for the passage of a device (16) for loading/unloading said discoid supporting element (8), wherein said hollow guide (11, 12) extends from an edge of said covering (92) to said hole (10).
    Type: Grant
    Filed: December 17, 2014
    Date of Patent: August 27, 2019
    Assignee: LPE S.P.A.
    Inventors: Francesco Corea, Danilo Crippa, Laura Gobbo, Marco Mauceri, Vincenzo Ogliari, Franco Preti, Marco Puglisi, Carmelo Vecchio
  • Patent number: 10392291
    Abstract: A method for forming an optical fiber preform is provided. The method includes inserting a glass core cane into a glass sleeve such that the glass sleeve surrounds a portion of the glass core cane and such that there is a gap between the glass sleeve and the portion of the glass core cane surrounded by the glass sleeve. The method further includes depositing silica soot onto at least a portion of the glass core cane and at least a portion of the glass sleeve to form a silica soot preform, and flowing gas through the gap during processing of the silica soot preform.
    Type: Grant
    Filed: December 16, 2015
    Date of Patent: August 27, 2019
    Assignee: CORNING INCORPORATED
    Inventors: Raymond D. Groh, Ming-Jun Li, Alper Ozturk, Chunfeng Zhou
  • Patent number: 10388558
    Abstract: A plasma processing apparatus includes an electrostatic chuck and a lifter pin. The electrostatic chuck has a mounting surface on which a target object is mounted and a back surface opposite to the mounting surface, and a through hole formed through the mounting surface and the back surface. The lifter pin is at least partially formed of an insulating member and has a leading end accommodated in the through hole. The lifter pin vertically moves with respect to the mounting surface to vertically transfer the target object. A conductive material is provided at at least one of a leading end portion of the lifter pin which corresponds to the through hole and a wall surface of the through hole which faces the lifter pin.
    Type: Grant
    Filed: December 1, 2017
    Date of Patent: August 20, 2019
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Yasuharu Sasaki, Akira Ishikawa, Ryo Chiba
  • Patent number: 10385455
    Abstract: A film forming apparatus configured to form a film on part of a work. The film forming apparatus comprises a film forming vessel comprising a first mold located above the work and a second mold located below the work to be opposed to the first mold. The first mold is configured to include a first recessed portion that is recessed upward viewed from a film formation target part of the work and a first planar portion arranged around the first recessed portion. The second mold is configured to include a second planar portion in a place opposed to the first planar portion. The film forming apparatus also comprises a first seal member located between the first planar portion and the work. The first seal member is configured to come into contact with the first planar portion and the work when the work is away from the first planar portion. The film forming apparatus further comprises a second seal member located between the second planar portion and the work.
    Type: Grant
    Filed: April 19, 2017
    Date of Patent: August 20, 2019
    Assignee: Toyota Jidosha Kabushiki Kaisha
    Inventor: Kazutaka Iizuka
  • Patent number: 10332832
    Abstract: A method of manufacturing a multi-layer electronics package includes attaching a base insulating substrate to a frame having an opening therein and such that the frame is positioned above and/or below the base insulating substrate to provide support thereto. A first conductive wiring layer is applied on the first side of the base insulating substrate, and vias are formed in the base insulating substrate. A second conductive wiring layer is formed on the second side of the base insulating substrate that covers the vias and the exposed portions of the first conductive wiring layer and at least one additional insulating substrate is bonded to the base insulating substrate. Vias are formed in each additional insulating substrate and an additional conductive wiring layer is formed on each of the additional insulating substrate. The described build-up forms a multilayer interconnect structure, with the frame providing support for this build-up.
    Type: Grant
    Filed: August 7, 2017
    Date of Patent: June 25, 2019
    Assignee: General Electric Company
    Inventors: Christopher James Kapusta, Raymond Albert Fillion, Risto Ilkka Sakari Tuominen, Kaustubh Ravindra Nagarkar
  • Patent number: 10316412
    Abstract: A wafer carrier for use in a system for growing epitaxial layers on one or more wafers by chemical vapor deposition. The wafer carrier includes wafer retention pockets recessed in its body. Each pocket includes a floor surface and a peripheral wall surface surrounding the floor surface and defining a periphery of that pocket. Each pocket has a center situated along a corresponding wafer carrier radial axis. In each of the pockets, a set of bumpers is positioned primarily at a distal portion of the wafer retention pocket opposite the central axis so as to maintain a gap of at least a predefined size between the peripheral wall surface at the distal portion and an edge of a wafer to be placed in the wafer retention pocket.
    Type: Grant
    Filed: April 18, 2012
    Date of Patent: June 11, 2019
    Assignee: Veeco Instruments Inc.
    Inventors: Sandeep Krishnan, Jeffrey Scott Montgomery, Lukas Urban, Alexander I. Gurary, Yuliy Rashkovsky
  • Patent number: 10295063
    Abstract: A sealing ring for attaching to a cover ring of a wafer treating device has an annular carrier and a sealing lip which is releasably attached to the carrier.
    Type: Grant
    Filed: March 9, 2016
    Date of Patent: May 21, 2019
    Assignee: SUSS MICROTEC LITHOGRAPHY GMBH
    Inventors: Dieter Albert, Michael Braun
  • Patent number: 10297425
    Abstract: A method and apparatus for plasma enhanced chemical vapor deposition to an interior region of a hollow, tubular, high aspect ratio workpiece are disclosed. A plurality of anodes are disposed in axially spaced apart arrangement, to the interior of the workpiece. A process gas is introduced into the region. A respective individualized DC or pulsed DC bias is applied to each of the anodes. The bias excites the process gas into a plasma. The workpiece is biased in a hollow cathode arrangement. Pressure is controlled in the interior region to maintain the plasma. An elongated support tube arranges the anodes, and receives a process gas tube. A current splitter provides a respective selected proportion of a total current to each anode. One or more notch diffusers or chamber diffusers may diffuse the process gas or a plasma moderating gas. Plasma impedance and distribution may be controlled using various means.
    Type: Grant
    Filed: April 17, 2014
    Date of Patent: May 21, 2019
    Assignee: SUB-ONE TECHNOLOGY, LLC.
    Inventors: Deepak Upadhyaya, Karthik Boinapally, William J. Boardman, Matthew MaMoody, Thomas B. Casserly, Pankaj Jyoti Hazarika, Duc Doan
  • Patent number: 10269614
    Abstract: Implementations of the present disclosure generally relate to a susceptor for thermal processing of semiconductor substrates. In one implementation, the susceptor includes a first rim surrounding and coupled to an inner region, and a second rim disposed between the inner rim and the first rim. The second rim includes an angled support surface having a plurality of cut-outs formed therein, and the angled support surface is inclined with respect to a top surface of the inner region.
    Type: Grant
    Filed: October 16, 2015
    Date of Patent: April 23, 2019
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Schubert S. Chu, Kartik Shah, Anhthu Ngo, Karthik Ramanathan, Nitin Pathak, Nyi O. Myo, Paul Brillhart, Richard O. Collins, Kevin Joseph Bautista, Edric Tong, Zhepeng Cong, Anzhong Chang, Kin Pong Lo, Manish Hemkar
  • Patent number: 10265868
    Abstract: Embodiments of the present invention provide a transfer robot having a cooling plate attached thereto for cooling a substrate during transfer between a processing chamber and a load lock chamber. In one embodiment, the cooling plate is a single, large area cooling plate attached to the transfer robot beneath the substrate being transferred. In another embodiment, the cooling plate is an array of substrates attached to the transfer robot beneath the substrate being transferred. The cooling plate may include a conduit path for circulating a cooling fluid throughout the cooling plate. The cooling plate may have an upper surface with a high emissivity coating applied thereto.
    Type: Grant
    Filed: January 14, 2011
    Date of Patent: April 23, 2019
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Shinichi Kurita, Makoto Inagawa, Takayuki Matsumoto
  • Patent number: 10261121
    Abstract: Embodiments of the present disclosure describe semiconductor equipment devices having a metal workpiece and a diamond-like carbon (DLC) coating disposed on a surface of the metal workpiece, thermal semiconductor test pedestals having a metal plate and a DLC coating disposed on a surface of the metal plate, techniques for fabricating thermal semiconductor test pedestals with DLC coatings, and associated configurations. A thermal semiconductor test pedestal may include a metal plate and a DLC coating disposed on a surface of the metal plate. The metal plate may include a metal block formed of a first metal and a metal coating layer formed of a second metal between the metal block and the DLC coating. An adhesion strength promoter layer may be disposed between the metal coating layer and the DLC coating. Other embodiments may be described and/or claimed.
    Type: Grant
    Filed: May 26, 2016
    Date of Patent: April 16, 2019
    Assignee: Intel Corporation
    Inventors: Jelena Culic-Viskota, Nader N. Abazarnia
  • Patent number: 10242885
    Abstract: A process to selectively etch a substrate surface comprising multiple metal oxides comprising exposing the substrate surface to a halogenation agent, and then exposing the substrate surface to a ligand transfer agent. The etch rate of the metals in the multiple metal oxides is substantially uniform.
    Type: Grant
    Filed: May 26, 2017
    Date of Patent: March 26, 2019
    Assignee: Applied Materials, Inc.
    Inventors: Jeffrey W. Anthis, David Thompson, Benjamin Schmiege
  • Patent number: 10240235
    Abstract: An apparatus for depositing a material layer originating from process gas on a substrate wafer, contains: a reactor chamber delimited by an upper dome, a lower dome, and a side wall; a susceptor for holding the substrate wafer during the deposition of the material layer; a preheating ring surrounding the susceptor; a liner, on which the preheating ring is supported in a centered position wherein a gap having a uniform width is present between the preheating ring and the susceptor; and a spacer acting between the liner and the preheating ring, the spacer keeping the preheating ring in the centered position and providing a distance ? between the preheating ring and the liner.
    Type: Grant
    Filed: February 29, 2012
    Date of Patent: March 26, 2019
    Assignee: SILTRONIC AG
    Inventors: Georg Brenninger, Alois Aigner, Christian Hager
  • Patent number: 10214808
    Abstract: A deposition apparatus for performing a deposition process by using a mask with respect to a substrate, the deposition apparatus includes a chamber, a support unit in the chamber, the support unit including first holes and being configured to support the substrate, a supply unit configured to supply at least one deposition raw material toward the substrate, and movable alignment units through the first holes of the support unit, the alignment units being configured to support the mask and to align the mask with respect to the substrate.
    Type: Grant
    Filed: April 20, 2017
    Date of Patent: February 26, 2019
    Assignee: Samsung Display Co., Ltd.
    Inventors: Myung-Soo Huh, Suk-Won Jung, Jeong-Ho Yi, Sang-Hyuk Hong, Yong-Suk Lee
  • Patent number: 10184193
    Abstract: A susceptor supports a semiconductor wafer and includes a substantially cylindrical body comprising an outer rim having an upper surface. The body also includes a recess extending into the body from the upper surface to a recess floor such that the recess is sized and shaped for receiving the wafer therein. The body further includes a ledge extending between the rim and the recess floor. The ledge includes a ramp comprising a first surface, a second surface, and a third surface. The first surface is oriented at a first angle with respect to the upper surface; the second surface is oriented at a second angle oriented with respect to the upper surface; and the third surface is oriented at a third angle with respect to the upper surface. Further, the second angle is greater than the first angle.
    Type: Grant
    Filed: May 18, 2016
    Date of Patent: January 22, 2019
    Assignee: GlobalWafers Co., Ltd.
    Inventor: John Allen Pitney
  • Patent number: 10163676
    Abstract: A apparatus includes a susceptor and a non-reactive gas source. The susceptor has through holes and a wafer support surface. Each through hole includes a lift pin and a lift pin head. The lift pin has a vertical degree of motion in the through hole to lift up or place a wafer on the susceptor. The lift pin head has at least one flow channel structure running from its first surface at least partially exposed to a bottom side of the susceptor through its second surface exposed to a top side of the susceptor wherein the lift pin. The non-reactive gas source is configured to flow a gas to a backside of the wafer through the flow channel structure through the bottom side of the susceptor.
    Type: Grant
    Filed: June 27, 2013
    Date of Patent: December 25, 2018
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Yen-Yu Chen, Wei-Jen Chen, Yi-Chen Chiang, Tsang-Yang Liu, Chang-Sheng Lee, Wei-Chen Liao, Wei Zhang
  • Patent number: 10094019
    Abstract: A film forming apparatus that includes a mounting table for loading a wafer, a encompassing member surrounding the mounting table and dividing an inside of a process container, an exhaust part that vacuum exhausts the process container, a clamp ring loaded upon an upper space of the encompassing member and lifted from the upper space of the encompassing member while contacting an inner circumference part thereof with an outer circumference of the wafer loaded on the mounting table, and a cylindrical wall extended downward from the clamp ring, formed along a circumference of the clamp ring into a cylinder shape, and positioned between an outer circumference surface of the mounting table and an inner circumference surface of the encompassing member.
    Type: Grant
    Filed: November 7, 2013
    Date of Patent: October 9, 2018
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Daisuke Toriya, Eiichi Komori, Manabu Amikura
  • Patent number: 10072354
    Abstract: Embodiments described herein relate to a lower side wall for use in a processing chamber. In one embodiment, the lower side wall includes an annular body. The annular body as an inner circumference, an outer circumference, a plurality of flanges projecting from the inner circumference, and a first concave portion formed in the outer circumference. The outer circumference has a plurality of grooves arranged in a circumferential direction of the lower side wall. In another embodiment, the annular body further includes a top surface having a mounting surface formed thereon and a second concave portion formed opposite the first concave portion. The second concave portion has a plurality of purge holes. In another embodiment, each groove of the plurality of grooves formed in the first concave portion has an arc shape.
    Type: Grant
    Filed: March 25, 2015
    Date of Patent: September 11, 2018
    Assignee: Applied Materials, Inc.
    Inventors: Akira Okabe, Yoshinobu Mori
  • Patent number: 10047440
    Abstract: The present disclosure generally relates to an improved method for forming low resistivity crystalline silicon films for display devices. The processing chamber in which the low resistivity crystalline silicon film is formed is pressurized to a predetermined pressure and a radio frequency power at a predetermined power level is delivered to the processing chamber. In addition, feeding locations of one or more VHF power generator and controlling of each VHF power generator via phase modulation and sweeping allows for plasma uniformity improvements by compensating for the non-uniformity of the thin film patterns produced by the chamber, due to the standing wave effect. Diffuser plate having two curved surfaces helps improve crystallinity uniformity.
    Type: Grant
    Filed: September 2, 2016
    Date of Patent: August 14, 2018
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Shuran Sheng, Su Ho Cho
  • Patent number: 10008399
    Abstract: An electrostatic puck assembly includes an upper puck plate, a lower puck plate and a backing plate. The upper puck plate comprises AlN or Al2O3 and has a first coefficient of thermal expansion. The lower puck plate comprises a material having a second coefficient of thermal expansion that approximately matches the first coefficient of thermal expansion and is bonded to the upper puck plate by a first metal bond. The backing plate comprises AlN or Al2O3 and is bonded to the lower puck plate by a second metal bond.
    Type: Grant
    Filed: August 19, 2015
    Date of Patent: June 26, 2018
    Assignee: Applied Materials, Inc.
    Inventor: Vijay D. Parkhe
  • Patent number: 9978618
    Abstract: Embodiments of systems and methods for substrate thermal processing using a hot plate with a programmable array of lift devices for multi-bake process optimization are presented. In an embodiment, an apparatus includes a base with an upper surface configured to receive the substrate. The base may include at least one heater for heating the substrate while on or in the vicinity of the base, and a plurality of lift devices configured to selectively extend from the upper surface of the base to support the substrate above the base when extended, and allow the substrate to rest on the upper surface of the base when retracted, each lift device being actuated independently of the other lift devices by an actuating mechanism. Additionally, the apparatus may include a controller for controlling the plurality of actuating mechanisms.
    Type: Grant
    Filed: October 7, 2016
    Date of Patent: May 22, 2018
    Assignee: Tokyo Electron Limited
    Inventors: Mark Somervell, Josh Hooge, Michael Carcasi
  • Patent number: 9976211
    Abstract: An article such as a susceptor includes a body of a thermally conductive material coated by a first protective layer and a second protective layer over a surface of the body. The first protective layer is a thermally conductive ceramic. The second protective layer covers the first protective layer and is a plasma resistant ceramic thin film that is resistant to cracking at temperatures of 650 degrees Celsius.
    Type: Grant
    Filed: April 22, 2015
    Date of Patent: May 22, 2018
    Assignee: Applied Materials, Inc.
    Inventors: Vahid Firouzdor, Biraja P. Kanungo, Jennifer Y. Sun, Martin J. Salinas, Jared Ahmad Lee
  • Patent number: 9957608
    Abstract: A composite shield assembly is for use in deposition apparatus defining a work piece location. The assembly includes a first shield element for position circumjacent the work piece location and a second shield element for extending around and carrying the first element. The thermal conductivity of the first element is greater than that of the second element, and the elements are arranged for intimate thermal contact.
    Type: Grant
    Filed: February 13, 2012
    Date of Patent: May 1, 2018
    Assignee: SPTS TECHNOLOGIES LIMITED
    Inventors: Clive Luca Widdicks, Ian Moncrieff
  • Patent number: 9916994
    Abstract: Embodiments of substrate supports and sealing rings for use in a substrate support are provided herein. In some embodiments, a substrate support structure includes an arcuate sealing piece having a first side including a generally planar support surface; a first arcuate portion; a second arcuate portion disposed radially inward of the first arcuate portion; a first end portion comprising a first arcuate extension extending from the first arcuate portion; and a second end portion comprising a second arcuate extension extending from the second arcuate portion.
    Type: Grant
    Filed: March 6, 2013
    Date of Patent: March 13, 2018
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Olkan Cuvalci, Gwo-Chuan Tzu, Xiaoxiong Yuan
  • Patent number: 9917046
    Abstract: Provided is a manufacturing method of a circuit board structure including steps as below. A glass film is provided on an electrostatic chuck (E-chuck). A plurality of first conductive vias are formed in the glass film. A first circuit layer is formed on an upper surface of the glass film, such that the first circuit layer is electrically connected with the first conductive vias. A first polymer layer is formed on the first circuit layer. The first polymer layer covers a surface of the first circuit layer and the upper surface of the glass film. A plurality of second conductive vias are formed in the first polymer layer. A second circuit layer is formed on the first polymer layer, such that the second circuit layer is electrically connected with the second conductive vias. The E-chuck is removed.
    Type: Grant
    Filed: July 4, 2016
    Date of Patent: March 13, 2018
    Assignee: Unimicron Technology Corp.
    Inventors: Chien-Te Wu, Chien-Tsai Li, Cheng-Chung Lo
  • Patent number: 9909206
    Abstract: Embodiments of process kits and process chambers incorporating same are provided herein. In some embodiments, a process kit includes a deposition ring configured to be disposed on a substrate support designed to support a substrate having a given width, including: an annular band configured to rest on a lower ledge of the substrate support; an inner lip extending upwardly from an inner edge of the annular band, wherein an inner surface of the inner lip and an inner surface of the annular band together form a central opening having a width that is less than the given width, and wherein a depth between an upper surface of the annular band and an upper surface of the inner lip is between about 24 mm and about 38 mm; a channel disposed radially outward of the annular band; and an outer lip extending upwardly and disposed radially outward of the channel.
    Type: Grant
    Filed: July 1, 2016
    Date of Patent: March 6, 2018
    Assignee: APPLIED MATERIALS, INC.
    Inventors: William Johanson, Kirankumar Savandaiah, Adolph Miller Allen, Xin Wang, Prashant Prabhu
  • Patent number: 9818600
    Abstract: A substrate processing apparatus includes: a plasma generating unit to excite a process gas into plasma state; a process chamber where a substrate is processed using the process gas excited in plasma state; a loading port installed at a sidewall of the process chamber, wherein the substrate is passed through the loading port when the substrate is loaded into the process chamber; a substrate support supporting the substrate in the process chamber; an electrode unit installed in the substrate support and including a plurality of divided electrodes; an impedance adjusting unit electrically connected to each of the plurality of electrodes to adjust an impedance thereof; and a control unit to control the impedance of the impedance adjusting unit so as to adjust the electrical potentials of the respective electrodes of the electrode unit. The substrate processing apparatus improves the uniformity of a substrate during a substrate processing process using plasma.
    Type: Grant
    Filed: September 15, 2016
    Date of Patent: November 14, 2017
    Assignee: Hitachi Kokusai Electric, Inc.
    Inventor: Takayuki Sato
  • Patent number: 9809491
    Abstract: The present disclosure relates to a device and a method for baking a substrate. The device includes a hot plate, and a supporting member for supporting a substrate to be processed, wherein the supporting member is located between the hot plate and the substrate to be processed, and can move relative to the hot plate so as to adjust the contacting position of the supporting member with the substrate to be processed. With the device, the yield of the substrate can be increased.
    Type: Grant
    Filed: January 21, 2014
    Date of Patent: November 7, 2017
    Assignee: Shenzhen China Star Optoelectronics Technology Co., Ltd.
    Inventor: Shih Ying Sun
  • Patent number: 9799497
    Abstract: Systems and methods are provided for material processing. An example apparatus includes a process-kit component containing a first groove and a second groove. The first groove and the second groove are disposed to form a pattern on a surface of the process-kit component. The process-kit component is configured to be placed into a chamber to reduce material deposition on one or more parts of the chamber during material processing.
    Type: Grant
    Filed: August 16, 2013
    Date of Patent: October 24, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Chen-Fang Chung, Chih-Tsang Tseng, Hsiao-Chuan Lee, Kuo-Pin Chuang, Shuen-Liang Tseng
  • Patent number: 9783889
    Abstract: In some embodiments, an apparatus for variable substrate temperature control may include a heater moveable along a central axis of a substrate support; a seal ring disposed about the heater, the seal ring configured to interface with a shadow ring disposed above the heater to form a seal; a plurality of spacer pins configured to support a substrate and disposed within a plurality of through holes formed in the heater, the plurality of spacer pins moveable parallel to the central axis, wherein the plurality of spacer pins control a first distance between the substrate and the heater and a second distance between the substrate and the shadow ring; and a resilient element disposed beneath the seal ring to bias the seal ring toward a backside surface of the heater.
    Type: Grant
    Filed: March 26, 2012
    Date of Patent: October 10, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Gwo-Chuan Tzu, Xiaoxiong Yuan, Amit Khandelwal, Avgerinos V. Gelatos, Olkan Cuvalci, Kai Wu, Michael P. Karazim
  • Patent number: 9786522
    Abstract: A substrate treatment method is performed by a substrate treatment apparatus including a substrate holding unit which holds a substrate, and a hot plate which heats the substrate from below. The method includes: a treatment liquid supplying step of locating the hot plate at a retracted position at which the hot plate is retracted below the substrate holding unit and, in this state, supplying a treatment liquid to an upper surface of the substrate held by the substrate holding unit; a protection liquid film forming step of forming a liquid film of a protection liquid to cover an upper surface of the hot plate in the treatment liquid supplying step; and a substrate heating step of heating the substrate by the hot plate with the hot plate being located adjacent to a lower surface of the substrate or in contact with the lower surface of the substrate.
    Type: Grant
    Filed: March 17, 2015
    Date of Patent: October 10, 2017
    Assignee: SCREEN Holdings Co., Ltd.
    Inventors: Kenji Kobayashi, Manabu Okutani
  • Patent number: 9721820
    Abstract: Embodiments of the present invention provide an end effector capable of generating an electrostatic chucking force to chuck a substrate disposed therein without damaging the substrate. In one embodiment, an end effector for a robot, the end effector includes a body having an electrostatic chucking force generating assembly, and a mounting end coupled to the body, the mounting end for coupling the body to the robot.
    Type: Grant
    Filed: July 21, 2014
    Date of Patent: August 1, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Michael S. Cox, Michel Anthony Rosa
  • Patent number: 9679794
    Abstract: According to an embodiment of present disclosure, a spacer is provided. The spacer includes at least a protrusion formed to protrude from an outer periphery of the spacer. The protrusion serves to locate the spacer in place in a transfer mechanism configured to transfer the spacer when the spacer is fixed by the transfer mechanism in such a way that the protrusion comes in contact with the transfer mechanism, and configured to allow the spacer to rotate or move in case the spacer is deviated from a predetermined transfer position when the spacer is engaged with the transfer mechanism.
    Type: Grant
    Filed: September 13, 2013
    Date of Patent: June 13, 2017
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Katsuhiko Oyama, Yasushi Takeuchi
  • Patent number: 9673265
    Abstract: A deposition apparatus for performing a deposition process by using a mask with respect to a substrate, the deposition apparatus includes a chamber, a support unit in the chamber, the support unit including first holes and being configured to support the substrate, a supply unit configured to supply at least one deposition raw material toward the substrate, and movable alignment units through the first holes of the support unit, the alignment units being configured to support the mask and to align the mask with respect to the substrate.
    Type: Grant
    Filed: September 8, 2013
    Date of Patent: June 6, 2017
    Assignee: SAMSUNG DISPLAY CO., LTD.
    Inventors: Myung-Soo Huh, Suk-Won Jung, Jeong-Ho Yi, Sang-Hyuk Hong, Yong-Suk Lee
  • Patent number: 9627240
    Abstract: According to an aspect of the invention, there is provided an electrostatic chuck including: a ceramic dielectric substrate having a first major surface, a second major surface, and a through-hole; a metallic base plate which has a gas introduction path that communicates with the through-hole; and a bonding layer which is provided between the ceramic dielectric substrate and the base plate and includes a resin material, the bonding layer having a space which is provided between an opening of the through-hole in the second major surface and the gas introduction path and is larger than the opening in a horizontal direction, and a first area in which an end face of the bonding layer on a side of the space intersects with the second major surface being recessed from the opening further than another second area of the end face which is different from the first area.
    Type: Grant
    Filed: March 20, 2015
    Date of Patent: April 18, 2017
    Assignee: Toto Ltd.
    Inventors: Kosuke Yamaguchi, Kazuki Anada, Tatsuya Koga, Hiroki Matsui
  • Patent number: 9627243
    Abstract: Provided is an apparatus and a method of holding a device. The apparatus includes a wafer chuck having first and second holes that extend therethrough, and a pressure control structure that can independently and selectively vary a fluid pressure in each of the first and second holes between pressures above and below an ambient pressure. The method includes providing a wafer chuck having first and second holes that extend therethrough, and independently and selectively varying a fluid pressure in each of the first and second holes between pressures above and below an ambient pressure.
    Type: Grant
    Filed: September 19, 2014
    Date of Patent: April 18, 2017
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Ping-Yin Liu, Chung-Yi Yu, Che Ying Hsu, Yeur-Luen Tu, Da-Hsiang Chou, Chia-Shiung Tsai
  • Patent number: 9624575
    Abstract: A thin film deposition apparatus, a deposition method using the same, and a method of manufacturing an organic light-emitting display apparatus by using the apparatus are provided. A thin film deposition apparatus is provided that includes a chamber containing a substrate holder on which a substrate is mounted, a plurality of rotary shaft units that change rotation and an inclination angle of the substrate holder, and a target unit that supplies a thin film material for formation on the substrate.
    Type: Grant
    Filed: April 25, 2014
    Date of Patent: April 18, 2017
    Assignee: SAMSUNG DISPLAY CO., LTD.
    Inventors: Ung-Soo Lee, Jin-Woo Park, Su-Hyuk Choi
  • Patent number: 9613839
    Abstract: A system and method for modulating and controlling the localized temperature of a workpiece during processing is disclosed. The system uses a platen having one or more walls, defining a plurality of discrete regions on the top surface of the platen. When a workpiece is disposed on the platen, a plurality of compartments is created, where each compartment is defined by the back side of the workpiece and a respective region of the platen. The pressure of back side gas in each of the compartments can be individually controlled. The pressure of back side gas determines the amount of heat that is transferred from the workpiece to the platen. By locally regulating the pressure of back side gas, different regions of the workpiece can be maintained at different temperatures. In some embodiments, a plurality of valves is used to control the flow rate to the compartments.
    Type: Grant
    Filed: November 19, 2014
    Date of Patent: April 4, 2017
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventor: Julian G. Blake
  • Patent number: 9613796
    Abstract: The wafer bevel etching apparatus of the present invention includes a wafer-protecting mask to cover parts of a wafer. A central region and a wafer bevel region surrounding the central region are defined on the wafer. The wafer-protecting mask includes a center sheltering region and at least one wafer bevel sheltering region. The center sheltering region can completely shelter the central region of the wafer, and the wafer bevel sheltering region extends from the outside edge of the center sheltering region, shelters parts of the wafer bevel region, and exposes the other parts of the wafer bevel region.
    Type: Grant
    Filed: August 4, 2015
    Date of Patent: April 4, 2017
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Tai-Heng Yu, Chih-Yueh Li
  • Patent number: 9580806
    Abstract: Embodiments of the invention may generally provide a method and apparatus that is used to prepare new and used substrate support assemblies for use in typical semiconductor processing environments. Embodiments of the present invention generally relate to a method of coating a new substrate support assembly or a used substrate support assembly that is being refurbished. The deposited coating may include a surface enhancement and/or protective material that is configured to protect one or more of the components exposed to the processing environment during a semiconductor process. The substrate support assembly may be coated with a protective material and during the coating process, the substrate support assembly is maintained at a temperature that is less than or equal to 150° C. by flowing a coolant through channels formed in a base of the substrate support assembly.
    Type: Grant
    Filed: October 21, 2013
    Date of Patent: February 28, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Wendell Glen Boyd, Jr., Vijay D. Parkhe, Sehn Thach
  • Patent number: 9564349
    Abstract: Methods and apparatus for rapid thermal processing of a planar substrate including axially aligning the substrate with a substrate support or with an empirically determined position are described. The methods and apparatus include a sensor system that determines the relative orientations of the substrate and the substrate support.
    Type: Grant
    Filed: October 19, 2012
    Date of Patent: February 7, 2017
    Assignee: Applied Materials, Inc.
    Inventors: Khurshed Sorabji, Joseph M. Ranish, Wolfgang Aderhold, Aaron M. Hunter, Blake R. Koelmel, Alexander N. Lerner, Nir Merry
  • Patent number: 9558982
    Abstract: Embodiments of the disclosure generally relate to a support ring that supports a substrate in a process chamber. In one embodiment, the support ring comprises an inner ring, an outer ring connecting to an outer perimeter of the inner ring through a flat portion, an edge lip extending radially inwardly from an inner perimeter of the inner ring to form a supporting ledge, and a substrate support extending upwardly from a top surface of the edge lip. The substrate support may be a continuous ring-shaped body disposed around a circumference of the edge lip. The substrate support supports a substrate about its entire periphery from the back side with minimized contact surface to thermally disconnect the substrate from the edge lip. Particularly, the substrate support provides a substantial line contact with the back surface of the substrate.
    Type: Grant
    Filed: September 18, 2013
    Date of Patent: January 31, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Heng Pan, Sairaju Tallavarjula, Kevin J. Bautista, Jeffrey Tobin
  • Patent number: 9517539
    Abstract: A substrate-retaining device with improved thermal uniformity is provided. In an exemplary embodiment, the substrate-retaining device includes a substantially circular first surface with a defined perimeter, a plurality of contact regions disposed at the perimeter, and a plurality of noncontact regions also disposed at the perimeter. The contact regions are interspersed with the noncontact regions. Within each of the noncontact regions, the first surface extends past where the first surface ends within each of the contact regions. In some such embodiments, each region of the plurality of contact regions includes a contact surface disposed above the first surface.
    Type: Grant
    Filed: August 28, 2014
    Date of Patent: December 13, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yi-Hung Lin, Jr-Hung Li, Chang-Shen Lu, Tze-Liang Lee, Chii-Horng Li
  • Patent number: 9490150
    Abstract: Embodiments of substrate supports are provided herein. In some embodiments, a substrate support may include a first aluminum plate for supporting a substrate, the first aluminum plate having a plurality of heating elements embedded therein to provide a plurality of heating zones; a second aluminum plate disposed beneath and supporting the first aluminum plate; a third aluminum plate disposed beneath and supporting the second aluminum plate; a non-metallic ring disposed atop the first aluminum plate; and a plurality of spacers having an upper portion disposed above a surface of the first aluminum plate, wherein the non-metallic ring and the plurality of spacers support the substrate above the first aluminum plate.
    Type: Grant
    Filed: July 3, 2012
    Date of Patent: November 8, 2016
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Gwo-Chuan Tzu, Olkan Cuvalci, Yu Chang, Xiaoxiong Yuan
  • Patent number: 9484232
    Abstract: A zone temperature control structure which has two or more zone of which surface temperatures are controlled to different temperatures, respectively. The structure can maintain a temperature difference by suppressing heat conduction in a direction in which the zones are arrayed, and prevent formation of a hot spot by ensuring smooth heat conduction for heat input in a direction intersecting the direction in which the zones are arrayed. A heat-conducting anisotropic material layer is disposed between the two or more zones. The heat-conducting anisotropic material layer is configured such that heat conductivity is lower in the direction in which the two or more zones are arrayed than in the direction intersecting the direction in which the two or more zones are arrayed.
    Type: Grant
    Filed: March 24, 2011
    Date of Patent: November 1, 2016
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Yasuharu Sasaki
  • Patent number: 9478387
    Abstract: A plasma processing apparatus capable of optimizing a plasma process is provided. The plasma processing apparatus includes a control unit for controlling a minimum energy and a maximum energy of ions incident onto a substrate independently of each other such that ion energy of the ions are concentrated at a first energy band and a second energy band respectively. In the plasma processing apparatus, the oxide film is etched to form a hole within the oxide film, the first energy band is lower than a first energy value at which the oxide film is etched while the organic film is not etched, and the second energy band is higher than a second energy value at which an etching yield at an inclined surface of the hole is higher than an etching yield of an upper surface of the organic film.
    Type: Grant
    Filed: December 23, 2013
    Date of Patent: October 25, 2016
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Yoshinobu Ooya, Akira Tanabe, Yoshinori Yasuta
  • Patent number: 9423699
    Abstract: A substrate holder for a lithographic apparatus has a planarization layer provided on a surface thereof. The planarization layer provides a smooth surface for the formation of an electronic component such as a thin film electronic component. The planarization layer may be provided in multiple sub layers. The planarization layer may smooth over roughness caused by removal of material from a blank to form burls on the substrate holder.
    Type: Grant
    Filed: December 12, 2011
    Date of Patent: August 23, 2016
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Raymond Wilhelmus Louis Lafarre, Nicolaas Ten Kate, Nina Vladimirovna Dziomkina, Yogesh Pramod Karade
  • Patent number: 9425057
    Abstract: A method for manufacturing a memory device having a vertical structure according to one embodiment of the present invention comprises: a step for alternatingly laminating one or more insulation layers and one or more sacrificial layers on a substrate; a step for forming a penetration hole for penetrating the insulation layer and the sacrificial layer; a step for forming a pattern for filling up the penetration hole; a step for forming an opening for penetrating the insulation layer and the sacrificial layer; and a step for removing the sacrificial layer by supplying an etchant through the opening, wherein the step for laminating the insulation layer includes a step for depositing a first silicon oxide film by supplying to the substrate at least one gas selected from the group consisting of SiH4, Si2H6, Si3H8, Si4H10, and the step for laminating the sacrificial layer includes a step for depositing a second silicon oxide film by supplying dichlorosilane (SiCl2H2) to the substrate.
    Type: Grant
    Filed: October 6, 2011
    Date of Patent: August 23, 2016
    Assignee: Eugene Technology Co., Ltd.
    Inventors: Sung Kil Cho, Hai Won Kim, Sang Ho Woo, Seung Woo Shin, Gil Sun Jang, Wan Suk Oh