Work Support Patents (Class 118/728)
  • Patent number: 11562914
    Abstract: Embodiments of the present disclosure generally relate to an apparatus for improving the film thickness on a substrate when using a heated substrate support. A cover plate to be placed over the top surface of a heated substrate support is disclosed. The cover plate includes a pocket formed in the middle thereof for the placement of a substrate. The cover plate may include a variety of features including a plurality of dimples, a plurality of radially disposed grooves, a plurality of annular grooves, lift pin holes, pin slots, and gas exhaust holes.
    Type: Grant
    Filed: April 12, 2021
    Date of Patent: January 24, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Muhammad M. Rasheed, Ilker Durukan
  • Patent number: 11540380
    Abstract: The disclosure relates to a flexible active species generator comprising: a first electrode of a conductive metal thin film; a second electrode of a ground electrode; a flexible dielectric layer of an insulator formed between the first electrode and the second electrode; and a plasma resistant functional layer formed between the dielectric layer and the second electrode, wherein the first electrode and the second electrode are electrically connected to an external power supply to generate an atmospheric pressure plasma to generate active species. The flexible active species generator has a plasma resistant function to prevent deformation and decomposition of an insulator caused by the plasma as well as an active species generating function from atmospheric pressure plasma, and has durability and safety, which is thus applicable to articles, foods, garments and human body in various forms.
    Type: Grant
    Filed: September 29, 2017
    Date of Patent: December 27, 2022
    Assignee: KOREA INSTITUTE OF MATERIALS SCIENCE
    Inventors: Seung Hoon Lee, Do Geun Kim, Sung Hoon Jung, Yu Ri Lee, Doo Ho Choi, Byoung Joon Kim
  • Patent number: 11533783
    Abstract: A plurality of heating zones in a substrate support assembly in a chamber is independently controlled. Temperature feedback from a plurality of temperature detectors is provided as a first input to a process control algorithm, which may be a closed-loop algorithm. A second input to the process control algorithm is targeted values of heater temperature for one or more heating zones, as calculated using a model. Targeted values of heater power needed for achieving the targeted values of heater temperature for the one or more heating zones is calculated. Chamber hardware is controlled to match the targeted value of heater temperature that is correlated with the wafer characteristics corresponding to the current optimum values of the one or more process parameters.
    Type: Grant
    Filed: July 18, 2019
    Date of Patent: December 20, 2022
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Mauro Cimino, Don Channa Kaluarachchi, Son Phi, Ramyashree Vishnuprasad, Dmitry Lubomirsky
  • Patent number: 11524315
    Abstract: An apparatus for treating a substrate includes a housing having a treatment space inside, a gas supply unit that supplies a hydrophobic gas into the treatment space to hydrophobicize the substrate, and a support unit that supports the substrate in the treatment space. The support unit includes a support plate, a heating member that heats the substrate placed on the support plate, and a height adjustment member that changes a position of the substrate between a first position spaced apart upward from an upper surface of the support plate by a first distance and a second position spaced apart upward from the upper surface of the support plate by a second distance, and the second position is a higher position than the first position.
    Type: Grant
    Filed: October 30, 2020
    Date of Patent: December 13, 2022
    Assignee: Semes Co., Ltd.
    Inventors: Jaeoh Bang, Kyungjin Seo, Youngseo An
  • Patent number: 11521886
    Abstract: An electrostatic chucking method uses a substrate processing apparatus including an electrostatic chuck, a focus ring, a supply unit configured to supply a heat transfer medium to a space formed between the focus ring and the electrostatic chuck, and a plurality of electrodes provided at a region in the electrostatic chuck which corresponds to the focus ring. The electrostatic chucking method includes supplying by the supply unit the heat transfer medium to the space for a plasma processing period for which a plasma for processing the substrate is generated, and applying different voltages to the plurality of electrodes to attract and hold the focus ring on the electrostatic chuck for a period other than the plasma processing period.
    Type: Grant
    Filed: September 22, 2020
    Date of Patent: December 6, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Yasuharu Sasaki, Taketoshi Tomioka, Hiroki Kishi, Jisoo Suh
  • Patent number: 11515194
    Abstract: A substrate processing apparatus includes a stage including a first section and a second section, pins, a lifter configured to raise and lower the pins, and a controller configured to control the lifter. On the first section, a substrate is placed. On the second section, an edge ring is placed. The second section is provided at a periphery of the first section. Also, at the second section, holes are provided. The pins are provided in the respective holes so as to move up and down through the holes.
    Type: Grant
    Filed: June 10, 2020
    Date of Patent: November 29, 2022
    Assignee: Tokyo Electron Limited
    Inventor: Katsuyuki Koizumi
  • Patent number: 11505864
    Abstract: A substrate processing apparatus, includes a sealed pressure vessel, such as an Atomic Layer Deposition, ALD, apparatus, a fluid inlet assembly attached to a wall of the sealed pressure vessel, the fluid inlet assembly having a fluid inlet pipe passing through the wall, and a resilient element in the fluid inlet assembly around the fluid inlet pipe coupling the inlet pipe to the wall, where one of an interior surface and an exterior surface of the resilient element sees pressure prevailing within the pressure vessel and the other sees ambient pressure, and where the fluid inlet pipe prevents fluid carried inside from being in contact with the resilient element, and a relating method.
    Type: Grant
    Filed: June 21, 2017
    Date of Patent: November 22, 2022
    Assignee: Picosun Oy
    Inventors: Timo Malinen, Väinö Kilpi, Marko Pudas
  • Patent number: 11508603
    Abstract: A substrate placing table according to an exemplary embodiment includes a base and an electrostatic chuck provided on the base. The electrostatic chuck includes a lamination layer portion, an intermediate layer, and a covering layer. The lamination layer portion is provided on the base. The intermediate layer is provided on the lamination layer portion. The covering layer is provided on the intermediate layer. The lamination layer portion includes a first layer, an electrode layer, and a second layer. The first layer is provided on the base. The electrode layer is provided on the first layer. The second layer is provided on the electrode layer. The intermediate layer is provided between the second layer and the covering layer and is in close contact with the second layer and the covering layer. The second layer is a resin layer. The covering layer is ceramics.
    Type: Grant
    Filed: November 23, 2021
    Date of Patent: November 22, 2022
    Assignee: Tokyo Electron Limited
    Inventors: Satoshi Taga, Naoyuki Satoh, Tatsuo Nishita
  • Patent number: 11505876
    Abstract: A method of producing silicon carbide is disclosed. The method comprises the steps of providing a sublimation furnace comprising a furnace shell, at least one heating element positioned outside the furnace shell, and a hot zone positioned inside the furnace shell surrounded by insulation. The hot zone comprises a crucible with a silicon carbide precursor positioned in the lower region and a silicon carbide seed positioned in the upper region. The hot zone is heated to sublimate the silicon carbide precursor, forming silicon carbide on the bottom surface of the silicon carbide seed. Also disclosed is the sublimation furnace to produce the silicon carbide as well as the resulting silicon carbide material.
    Type: Grant
    Filed: October 9, 2020
    Date of Patent: November 22, 2022
    Assignee: GTAT CORPORATION
    Inventors: Roman V. Drachev, Santhanaraghavan Parthasarathy, Andriy M. Andrukhiv, David S. Lyttle
  • Patent number: 11501995
    Abstract: A mounting table includes a wafer mounting surface mounting a wafer, a ring mounting surface disposed at a radially outer side of the wafer mounting surface and mounting a first ring having a first engaging portion and a second ring having a second engaging portion to be engaged with the first engaging portion, a lifter pin, and a driving mechanism. The second ring has a through-hole extends to reach a bottom surface of the first engaging portion, and the ring mounting surface has a hole at a position corresponding to the through-hole. A lifter pin has a first holding part that fits into the through-hole and a second holding part that extends from the first holding part and has a part protruding from the first holding part. The lifter pin is accommodated in the hole, and a driving mechanism vertically moves the lifter pin.
    Type: Grant
    Filed: January 8, 2020
    Date of Patent: November 15, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Yohei Uchida, Jun Hirose
  • Patent number: 11501955
    Abstract: Embodiments described herein include an applicator frame for a processing chamber. In an embodiment, the applicator frame comprises a first major surface of the applicator frame and a second major surface of the applicator frame opposite the first major surface. In an embodiment, the applicator frame further comprises a through hole, wherein the through hole extends entirely through the applicator frame. In an embodiment, the applicator frame also comprises a lateral channel embedded in the applicator frame. In an embodiment the lateral channel intersects the through hole.
    Type: Grant
    Filed: February 1, 2021
    Date of Patent: November 15, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Hanh Nguyen, Thai Cheng Chua, Philip Allan Kraus
  • Patent number: 11492697
    Abstract: Embodiments of process kits for use in plasma process chambers are provided herein. In some embodiments, a process kit for use in a process chamber includes an annular body having an upper portion and a lower portion extending downward and radially inward from the upper portion, wherein the annular body includes an inner surface having a first segment that extends downward, a second segment that extends radially outward from the first segment, a third segment that extends downward from the second segment, a fourth segment that extends radially outward from the third segment, a fifth segment that extends downward from the fourth segment, a sixth segment that extends radially inward from the fifth segment, a seventh segment that extends downward from the sixth segment, and an eighth segment that extends radially inward from the seventh segment.
    Type: Grant
    Filed: June 22, 2020
    Date of Patent: November 8, 2022
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Kirankumar Neelasandra Savandaiah, Keith A. Miller, Srinivasa Rao Yedla, Chandrashekar Kenchappa, Martin Lee Riker
  • Patent number: 11488819
    Abstract: A method of cleaning blind spots around a substrate supporting apparatus by controlling a position of the substrate supporting apparatus includes moving the substrate supporting apparatus relative to a ring and supplying a cleaning gas to an upper space of the substrate supporting apparatus.
    Type: Grant
    Filed: December 3, 2019
    Date of Patent: November 1, 2022
    Assignee: ASM IP Holding B.V.
    Inventors: HakJoo Lee, HakJoon Lee, YoungSim Kim
  • Patent number: 11479859
    Abstract: Gas distribution assemblies and process chamber comprising gas distribution assemblies are described. The gas distribution assembly includes a gas distribution plate, a lid and a primary O-ring. The primary O-ring is positioned between a purge channel of a first contact surface of the gas distribution plate and a second contact surface. Methods of sealing a process chamber using the disclosed gas distribution assemblies are described.
    Type: Grant
    Filed: April 9, 2020
    Date of Patent: October 25, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Muhannad Mustafa, Muhammad M. Rasheed
  • Patent number: 11456159
    Abstract: A plasma processing system is provided. The plasma processing system includes an edge ring assembly that includes a dielectric ring and a coil. The coil is embedded within the dielectric ring. The coil generates a magnetic field that affects the ions in the proximity of the plasma sheath and in turn increases the fabrication yield of the semiconductor process.
    Type: Grant
    Filed: October 25, 2019
    Date of Patent: September 27, 2022
    Assignee: XIA TAI XIN SEMICONDUCTOR (QING DAO) LTD.
    Inventor: Woohyun Jeong
  • Patent number: 11443975
    Abstract: A pedestal for a substrate processing system includes a pedestal body including a substrate-facing surface. An annular band is arranged on the substrate-facing surface that is configured to support a radially outer edge of the substrate. A cavity is defined in the substrate-facing surface of the pedestal body and is located radially inside of the annular band. The cavity creates a volume between a bottom surface of the substrate and the substrate-facing surface of the pedestal body. A plurality of vents pass though the pedestal body and are in fluid communication with the cavity to equalize pressure on opposing faces of the substrate during processing.
    Type: Grant
    Filed: March 31, 2020
    Date of Patent: September 13, 2022
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Patrick Breiling, Ramesh Chandrasekharan, Karl Leeser, Paul Konkola, Adrien LaVoie, Chloe Baldasseroni, Shankar Swaminathan, Ishtak Karim, Yukinori Sakiyama, Edmund Minshall, Sung Je Kim, Andrew Duvall, Frank Pasquale
  • Patent number: 11427910
    Abstract: An atomic layer deposition equipment capable of reducing precursor deposition and an atomic layer deposition process method using the same are disclosed. The atomic layer deposition equipment includes a chamber, a stage, a precursor inlet, a shielding component, at least one gas inlet, and at least one pumping port, wherein the stage and the shielding component are disposed in a containing space of the chamber. The shielding component shields part of the inner surface of the chamber, and the gas inlet is fluidly connected to the containing space for introducing an inactive gas to the space between the chamber and the shielding component to prevent the precursor from entering. The pumping port pumps out the precursors that have not reacted with a substrate, thereby reducing the precursors remaining on the inner surface of the chamber, prolonging the cleaning cycle of the chamber and improving the product yield.
    Type: Grant
    Filed: October 20, 2020
    Date of Patent: August 30, 2022
    Assignee: SKY TECH INC.
    Inventors: Jing-Cheng Lin, Ta-Hao Kuo
  • Patent number: 11427928
    Abstract: Embodiments described herein relate to a lower side wall for use in a processing chamber. a lower side wall for use in a processing chamber is disclosed herein. The lower side wall includes an inner circumference, an outer circumference, a top surface, a plurality of flanges, and a first concave portion. The outer circumference is concentric with the inner circumference. The plurality of flanges project from the inner circumference. The first concave portion includes a plurality of grooves arranged along a circumferential direction of the lower side wall. Each groove has an arc shape such that the plurality of grooves concentrate a gas when the gas contacts the plurality of grooves.
    Type: Grant
    Filed: September 10, 2018
    Date of Patent: August 30, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Akira Okabe, Yoshinobu Mori
  • Patent number: 11424149
    Abstract: A substrate processing system is disclosed which includes a processing chamber comprising a susceptor having a first surface and a second surface opposite to the first surface, a groove formed in the first surface adjacent to a perimeter thereof, and a substrate support structure including a plurality of carrier lift pins, each of the plurality of carrier lift pins movably disposed in an opening formed from the second surface to the first surface, wherein the opening is recessed from the groove.
    Type: Grant
    Filed: June 11, 2020
    Date of Patent: August 23, 2022
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Masato Ishii, Richard O. Collins, Richard Giljum, Alexander Berger
  • Patent number: 11415463
    Abstract: A contactless temperature sensor for measuring the temperature of a workpiece is disclosed. The contactless temperature sensor uses a cushion of gas to separate the bottom surface of the workpiece from the top surface of the temperature sensor. The contactless temperature sensor includes a puck having a conduit therethrough. The conduit has a first portion having a first diameter, and a second portion having a second, narrower diameter. A gas tube rests in the first portion of the conduit, disposed proximate the bottom surface of the puck. Since the puck is not affixed to the gas tube, angular compliance may be achieved between the workpiece and the puck. Gas passes through the second portion and to the top surface of the puck. This gas provides a cushion between the top surface of the puck and the underside of the workpiece and conducts heat from the workpiece to the puck.
    Type: Grant
    Filed: June 4, 2019
    Date of Patent: August 16, 2022
    Assignee: Applied Materials, Inc.
    Inventor: Paul E. Pergande
  • Patent number: 11410833
    Abstract: The present disclosure provides a lower electrode mechanism and a reaction chamber, the lower electrode mechanism includes a base for carrying a workpiece to be processed and a lower electrode chamber disposed under the base, the lower electrode chamber includes an electromagnetic shielding space and a non-electromagnetic shielding space isolated from each other, the chamber of the lower electrode chamber includes a first through hole and a second through hole, and the electromagnetic shielding space and the non-electromagnetic shielding space are respectively connected to outside through the first through hole and the second through hole to prevent a plurality of first components disposed in the electromagnetic shielding space from being interfered by a second component disposed in the non-electromagnetic shielding space.
    Type: Grant
    Filed: November 15, 2017
    Date of Patent: August 9, 2022
    Assignee: BEIJING NAURA MICROELECTRONICS EQUIPMENT CO., LTD.
    Inventors: Yahui Huang, Gang Wei, Yicheng Li, Xingfei Mao
  • Patent number: 11410869
    Abstract: Electrostatic chucks (ESCs) for reactor or plasma processing chambers, and methods of fabricating ESCs, are described. In an example, a substrate support assembly includes a ceramic bottom plate having heater elements therein, the ceramic bottom plate composed of alumina having a first purity. The substrate support assembly also includes a ceramic top plate having an electrode therein, the ceramic top plate composed of alumina having a second purity higher than the first purity. A bond layer is between the ceramic top plate and the ceramic bottom plate. The ceramic top plate is in direct contact with the bond layer, and the bond layer is in direct contact with the ceramic bottom plate.
    Type: Grant
    Filed: February 22, 2021
    Date of Patent: August 9, 2022
    Assignee: Applied Materials, Inc.
    Inventor: Vijay D. Parkhe
  • Patent number: 11404249
    Abstract: A substrate processing apparatus includes a process chamber, a stage that is disposed in the process chamber and on which a substrate is placeable, a moving mechanism, and a focus ring. The focus ring is disposed on the stage and includes an inner focus ring disposed close to the substrate placed on the stage, a middle focus ring that is disposed outside of the inner focus ring and is movable in a vertical direction by the moving mechanism, and an outer focus ring that is disposed outside of the middle focus ring.
    Type: Grant
    Filed: March 20, 2018
    Date of Patent: August 2, 2022
    Assignee: Tokyo Electron Limited
    Inventors: Shuichi Takahashi, Takaharu Miyadate, Takanori Banse, Joji Takayoshi, Rumiko Moriya
  • Patent number: 11387080
    Abstract: A substrate support is provided. The substrate support includes a main body having a substrate supporting region and an annular region surrounding the substrate supporting region. The substrate support further includes a first ring disposed on the annular region and having a through-hole, a second ring disposed on the first ring and having an inner peripheral surface facing an end surface of a substrate on the substrate supporting region. The substrate support further includes a lift pin including a lower rod and an upper rod, wherein the lower rod has an upper end surface to be in contact with the first ring, and the upper rod extends upward from the upper end surface of the lower rod to be in contact with the second ring through the through-hole of the first ring and has a length greater than a length of the through-hole.
    Type: Grant
    Filed: September 25, 2020
    Date of Patent: July 12, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Daisuke Hayashi
  • Patent number: 11380575
    Abstract: Embodiments of the present disclosure generally relate to the fabrication of integrated circuits and to apparatus for use within a substrate processing chamber to improve film thickness uniformity. More specifically, the embodiments of the disclosure relate to an edge ring. The edge ring may include an overhang ring.
    Type: Grant
    Filed: July 27, 2020
    Date of Patent: July 5, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Kin Pong Lo, Vladimir Nagorny, Wei Liu, Theresa Kramer Guarini, Bernard L. Hwang, Malcolm J. Bevan, Jacob Abraham, Swayambhu Prasad Behera
  • Patent number: 11373893
    Abstract: Embodiments described herein relate to a substrate support assembly which enables a cryogenic temperature operation of an electrostatic chuck (ESC) so that a substrate disposed thereon is maintained at a cryogenic processing temperature suitable for processing while other surfaces of a processing chamber are maintained at a different temperature. The substrate support assembly includes an electrostatic chuck (ESC), an ESC base assembly coupled to the ESC having a base channel disposed therein, and a facility plate having a facility channel disposed therein. The facility plate includes a plate portion and a wall portion. The plate portion is coupled to the ESC base assembly and the wall portion coupled to the ESC with a seal assembly. A vacuum region is defined by the ESC, the ESC base assembly, the plate portion of the facility plate, the wall portion of the facility plate, and the seal assembly.
    Type: Grant
    Filed: August 19, 2020
    Date of Patent: June 28, 2022
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Yogananda Sarode Vishwanath, Steven E. Babayan, Stephen Donald Prouty, Alvaro Garcia De Gorordo, Andreas Schmid, Andrew Antoine Noujaim
  • Patent number: 11361981
    Abstract: Methods and apparatus for supporting substrates are provided herein. In some embodiments, a substrate support for supporting a plurality of substrates includes: a plurality of substrate support elements having a ring shape configured to support a plurality of substrates in a vertically spaced apart relation; and a plurality of substrate lift elements interfacing with the plurality of substrate support elements and configured to simultaneously selectively raise or lower substrates off of or onto respective substrate support elements.
    Type: Grant
    Filed: November 21, 2018
    Date of Patent: June 14, 2022
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Shashidhara Patel, Ananthkrishna Jupudi, Ribhu Gautam
  • Patent number: 11345998
    Abstract: A deposition apparatus includes an upper shower head and a lower shower head within a process chamber, the upper shower head and the lower shower head facing each other, a support structure between the upper shower head and the lower shower head, the support structure being connected to the lower shower head to support a wafer, and a plasma process region between the wafer supported by the support structure and the lower shower head, wherein the lower shower head includes lower holes to jet a lower gas in a direction of the wafer, wherein the upper shower head includes upper holes to jet an upper gas in a direction of the wafer, and wherein the support structure includes through opening portions to discharge a portion of the lower gas jetted through the lower holes to a space between the support structure and the upper shower head.
    Type: Grant
    Filed: May 24, 2018
    Date of Patent: May 31, 2022
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Byung Sun Park, Ji Youn Seo, Ji Woon Im, Hyun Seok Lim, Byung Ho Chun, Yu Seon Kang, Hyuk Ho Kwon, Sung Jin Park, Tae Yong Eom, Dong Hyeop Ha
  • Patent number: 11342163
    Abstract: A method of operating a substrate support includes arranging a substrate on an inner portion of the substrate support and calculating a desired pocket depth of the substrate support using data indicative of a relationship between the desired pocket depth and at least one process parameter. The desired pocket depth corresponds to a desired distance between an upper surface of an edge ring surrounding the inner portion and an upper surface of the substrate. The method further includes selectively controlling, based on the desired pocket depth as calculated, an actuator to raise and lower at least one of the edge ring and the inner portion to adjust the distance between the upper surface of the edge ring and the upper surface of the substrate.
    Type: Grant
    Filed: May 11, 2020
    Date of Patent: May 24, 2022
    Assignee: Lam Research Corporation
    Inventors: Ivelin Angelov, Cristian Siladie, Dean Larson, Brian Severson
  • Patent number: 11335585
    Abstract: Disclosed is a substrate displacing assembly so as to improve its durability during a semiconductor processing. In one embodiment, a semiconductor manufacturing system, includes, a substrate holder, wherein the substrate holder is configured with a plurality of pins; and a substrate displacing assembly for displacing a substrate on the substrate holder in a first direction perpendicular to the top surface of the substrate holder through the plurality of pins, wherein the substrate displacing assembly comprises a pair of load forks, a coupler and a driving shaft, wherein the pair of load forks comprises a fork region and a base region, wherein the coupler is mechanically coupled to the base region through at least one first joining screw extending in the first direction, wherein the coupler is further mechanically coupled to the driving shaft through a second joining screw extending in the first direction.
    Type: Grant
    Filed: May 8, 2020
    Date of Patent: May 17, 2022
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chung-Hsien Liao, Chin-Shen Hsieh
  • Patent number: 11328929
    Abstract: Methods, apparatuses, and systems for substrate processing for lowering contact resistance in at least contact pads of a semiconductor device are provided herein. In some embodiments, a method of substrate processing for lowering contact resistance of contact pads includes: circulating a cooling fluid in at least one channel of a pedestal; and exposing a backside of the substrate located on the pedestal to a cooling gas to cool a substrate located on the pedestal to a temperature of less than 70 degrees Celsius. In some embodiments in accordance with the present principles, the method can further include distributing a hydrogen gas or hydrogen gas combination over the substrate.
    Type: Grant
    Filed: April 30, 2019
    Date of Patent: May 10, 2022
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Yueh Sheng Ow, Junqi Wei, Wen Long Favier Shoo, Ananthkrishna Jupudi, Takashi Shimizu, Kelvin Boh, Tuck Foong Koh
  • Patent number: 11318572
    Abstract: An electrostatic chuck device (1) including: an electrostatic chuck member (2) formed of ceramics; a temperature control base member (3) formed of metal; and a power supply terminal (16) which is inserted in the temperature control base member (3) and applies a voltage to an electrode for electrostatic attraction (13) which is provided on the electrostatic chuck member (2), the electrode for electrostatic attraction (13) and the power supply terminal (16) are connected with each other via a conductive adhesive layer (17), the conductive adhesive layer (17) contains a carbon fiber and a resin, and the carbon fiber has an aspect ratio of 100 or higher.
    Type: Grant
    Filed: July 19, 2019
    Date of Patent: May 3, 2022
    Assignee: SUMITOMO OSAKA CEMENT CO., LTD.
    Inventor: Takashi Sato
  • Patent number: 11289309
    Abstract: A plasma processing device is provided with a chamber including a space that is configured to perform a treatment process for a wafer. A supporting member is disposed inside of the chamber and configured to support the wafer. A gas supply unit is configured to inject a mixed gas in different directions toward the supporting member. The pressure of the mixed gas is increased by adding inert gas to reactive gas.
    Type: Grant
    Filed: May 9, 2019
    Date of Patent: March 29, 2022
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Hyung Jun Kim, Kwang Nam Kim, Sung Yeon Kim, Jong Woo Sun, Sang Rok Oh, Jung Pyo Hong
  • Patent number: 11270898
    Abstract: Methods and apparatus for processing substrates are provided herein. In some embodiments, a shroud for controlling gas flow in a process chamber includes a closed walled body having an upper end and a lower end, the closed walled body defining a first opening of the shroud at the lower end and a second opening of the shroud at the upper end, wherein the second opening is offset from the first opening; and a top wall disposed atop a portion of the upper end of the closed walled body in a position above the first opening to define, together with a remaining portion of the upper end of the closed walled body, the second opening, wherein the shroud is configured to divert a gas flow from the second opening through the first opening.
    Type: Grant
    Filed: September 12, 2019
    Date of Patent: March 8, 2022
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Jothilingam Ramalingam, Kirankumar Neelasandra Savandaiah, Fuhong Zhang, William Johanson
  • Patent number: 11257691
    Abstract: There is provision of a substrate processing apparatus including an inner edge ring provided in a vicinity of a substrate to be placed on a stage in a processing chamber; a middle edge ring arranged outside the inner edge ring, the middle edge ring being configured to be moved vertically by an actuation mechanism; an outer edge ring arranged outside the middle edge ring; a first spring provided between the inner edge ring and the middle edge ring; and a second spring provided between the middle edge ring and the outer edge ring.
    Type: Grant
    Filed: April 25, 2019
    Date of Patent: February 22, 2022
    Assignee: Tokyo Electron Limited
    Inventor: Takehiro Tanikawa
  • Patent number: 11239098
    Abstract: According to one aspect of technique described herein, there is provided a technique including; a process chamber in which at least one substrate is processed; an electromagnetic wave supply part configured to supply an electromagnetic wave to the at least one substrate; a substrate holding part configured to hold the at least one substrate and at least one susceptor for suppressing the electromagnetic wave from being adsorbed to an edge of the at least one substrate; a substrate transfer part configured to transfer the at least one substrate; and a controller configured to control the substrate transfer part so as to correct a position of the at least one susceptor.
    Type: Grant
    Filed: March 14, 2019
    Date of Patent: February 1, 2022
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventor: Yukitomo Hirochi
  • Patent number: 11217470
    Abstract: A substrate placing table according to an exemplary embodiment includes a base and an electrostatic chuck provided on the base. The electrostatic chuck includes a lamination layer portion, an intermediate layer, and a covering layer. The lamination layer portion is provided on the base. The intermediate layer is provided on the lamination layer portion. The covering layer is provided on the intermediate layer. The lamination layer portion includes a first layer, an electrode layer, and a second layer. The first layer is provided on the base. The electrode layer is provided on the first layer. The second layer is provided on the electrode layer. The intermediate layer is provided between the second layer and the covering layer and is in close contact with the second layer and the covering layer. The second layer is a resin layer. The covering layer is ceramics.
    Type: Grant
    Filed: December 19, 2019
    Date of Patent: January 4, 2022
    Assignee: Tokyo Electron Limited
    Inventors: Satoshi Taga, Naoyuki Satoh, Tatsuo Nishita
  • Patent number: 11217469
    Abstract: A vacuum transfer apparatus includes a vacuum chamber, a transfer robot, and a bellows. The vacuum chamber has a through-hole communicating with an outside. The transfer robot includes an arm unit disposed in the vacuum chamber to support a substrate, a support unit configured to support the arm unit while passing through the through-hole with a gap between the support unit and the through-hole, and a base unit disposed at the outside of the vacuum chamber to support the support unit. The bellows surrounds a periphery of the support unit and is sealed and attached to the arm unit and an inner wall of the vacuum chamber around the through-hole.
    Type: Grant
    Filed: May 14, 2020
    Date of Patent: January 4, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Taiki Sato
  • Patent number: 11208718
    Abstract: An epitaxial growth device includes; a chamber; a susceptor; a supporting shaft, having a main column located coaxially with the center of the susceptor and supporting arms; and a lift pin, at least the surface layer region of the lift pin is made of a material having a hardness lower than the susceptor, the lift pin has a straight trunk part upper region configured to pass through the through-hole of the susceptor and having a surface roughness of from not less than 0.1 ?m to not more than 0.3 ?m, and the lift pin has a straight trunk part lower region configured to pass through the through-hole of the supporting arm and having a surface roughness of from not less than 1 ?m to not more than 10 ?m.
    Type: Grant
    Filed: April 25, 2016
    Date of Patent: December 28, 2021
    Assignee: SUMCO CORPORATION
    Inventor: Masaya Sakurai
  • Patent number: 11174554
    Abstract: Provided is a substrate tray which is to be used in a thin-film formation device and makes it easy to improve film quality and film thickness uniformity on a substrate by improving substrate heating efficiency and substrate heating uniformity.
    Type: Grant
    Filed: March 3, 2016
    Date of Patent: November 16, 2021
    Assignees: CORE TECHNOLOGY, INC., ASKAGI CORPORATION
    Inventors: Toshiaki Yoshimura, Hiroyuki Minowa, Lung Kei Amos Shek
  • Patent number: 11172547
    Abstract: An atomizer and an electronic cigarette are provided. The atomizer comprises an atomizing chamber and a heating assembly, the heating assembly includes heater, the heater is in the form of a sheet, the two opposite sides of the heater form air flow passages, the two opposite side walls of the heater respectively form the side walls of the air flow passages, the air flow passages are in communicate with the atomizing chamber, the number of the heater is at least one. Since the heating assembly located in the air flow passages is configured to heat the air entrance into the air flow passages, so that the air has a higher temperature when it reaches the atomizing chamber. The hot air heats the tobacco, and the contact area between the hot air and the tobacco is more uniform, and the heating can be completed quickly without waiting, generating more smoke and improving the taste.
    Type: Grant
    Filed: September 26, 2018
    Date of Patent: November 9, 2021
    Assignee: Changzhou Patent Electronic Technology Co., LTD
    Inventors: Weihua Qiu, Neng Hua
  • Patent number: 11164771
    Abstract: A wafer transferring device adapted to suck and transfer a first wafer is provided. The wafer transferring device includes an arm and a supporting carrier. The supporting carrier is connected to the arm. The supporting carrier has a single vacuum suction port exposed to an upper surface of the supporting carrier. The supporting carrier is adapted to move to a position below the first wafer. The single vacuum suction port is adapted to suck a first central region of the first wafer so as to lift up and transfer the first wafer.
    Type: Grant
    Filed: November 5, 2020
    Date of Patent: November 2, 2021
    Assignee: Powertech Technology Inc.
    Inventors: Cheng Chang, Ming Hsiu Hsieh, Yuan-Jung Lu, Chu Yuan Mo, Fu-Hsiang Chang
  • Patent number: 11145529
    Abstract: An EFEM includes first and second chambers, an airflow formation unit, a gas discharge port, and first and second nozzles. The first chamber introduces a replacement gas. The second chamber is connected with the first chamber via first and second communication sections. In the first communication section, a filter is disposed, and the replacement gas inflows from the first chamber. In the second communication section, the replacement gas outflows into the first chamber. The airflow formation unit produces a circulating airflow between the first and second chambers. The gas discharge port discharges an internal gas from the first or second chamber. The first nozzle discharges the replacement gas supplied from a replacement gas supply source into the first chamber through a first opening. The second nozzle discharges the replacement gas supplied from the source through a second opening.
    Type: Grant
    Filed: May 29, 2018
    Date of Patent: October 12, 2021
    Assignee: TDK CORPORATION
    Inventors: Tsutomu Okabe, Hiroshi Igarashi
  • Patent number: 11136665
    Abstract: Embodiments of the invention contemplate a shadow ring that provides increased or decreased and more uniform deposition on the edge of a wafer. By removing material from the top and/or bottom surfaces of the shadow ring, increased edge deposition and bevel coverage can be realized. In one embodiment, the material on the bottom surface is reduced by providing a recessed slot on the bottom surface. By increasing the amount of material of the shadow ring, the edge deposition and bevel coverage is reduced. Another approach to adjusting the deposition at the edge of the wafer includes increasing or decreasing the inner diameter of the shadow ring. The material forming the shadow ring may also be varied to change the amount of deposition at the edge of the wafer.
    Type: Grant
    Filed: January 28, 2019
    Date of Patent: October 5, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Dale Du Bois, Mohamad A. Ayoub, Robert Kim, Amit Kumar Bansal, Mark Fodor, Binh Nguyen, Siu F. Cheng, Hang Yu, Chiu Chan, Ganesh Balasubramanian, Deenesh Padhi, Juan Carlos Rocha
  • Patent number: 11139194
    Abstract: A sample holder includes: a ceramic body having a circular plate shape, the ceramic body comprising an upper surface serving as a sample holding face for holding a sample; and a suction electrode disposed within the ceramic body. The upper surface of the ceramic body includes a first circumferential wall portion having an annular shape, the first circumferential wall portion being constituted so as to protrude along an outer periphery of the ceramic body, and a plurality of projections formed in a region of the upper surface inside the first circumferential wall portion. Corners lying between an upper surface and side surfaces of the first circumferential wall portion are radiused. When viewed as a cross section of the ceramic body, a radius of curvature of an outside corner is smaller than a radius of curvature of an inside corner of the radiused corners of the first circumferential wall portion.
    Type: Grant
    Filed: June 30, 2017
    Date of Patent: October 5, 2021
    Assignee: KYOCERA CORPORATION
    Inventor: Kenichi Akabane
  • Patent number: 11127573
    Abstract: An embodiment includes a support unit, substrate treating apparatus and substrate treating method. The substrate treating apparatus comprises: a process chamber having a treatment space inside thereof; a support unit for supporting a substrate inside of the process chamber; and a gas supply unit for supplying the treatment gas into the treatment space, wherein the support unit comprises: an electrode layer of a metal material to which a high frequency electric power can be applied; a ground line having one end connected to the electrode layer and the other end grounded; and a switch provided on the ground line.
    Type: Grant
    Filed: February 20, 2020
    Date of Patent: September 21, 2021
    Assignee: SEMES CO., LTD.
    Inventors: Doo Ho Lim, Chang-Seung Ha, Seungbae Lee
  • Patent number: 11124894
    Abstract: A vapor phase growth apparatus according to an embodiment includes, n reactors performing a deposition process for a plurality of substrates at the same time, a first main gas supply path distributing a predetermined amount of first process gas including a group-III element to the n reactors at the same time, a second main gas supply path distributing a predetermined amount of second process gas including a group-V element to the n reactors at the same time, a controller controlling a flow rate of the first and second process gas, on the basis of control values of the flow rates of the first and second process gas supplied to the n reactors, and independently controlling predetermined process parameter independently set for each of the n reactors on the basis of control values, rotary drivers, and a heater.
    Type: Grant
    Filed: June 26, 2019
    Date of Patent: September 21, 2021
    Assignee: NuFlare Technology, Inc.
    Inventors: Yuusuke Sato, Hideshi Takahashi, Hideki Ito, Takanori Hayano
  • Patent number: 11121320
    Abstract: Embodiments of the disclosed subject matter provide a device including a micronozzle array having separate redundant groups of depositors that each include a delivery aperture disposed between two exhaust apertures. The device may include a first row of depositors of a first redundant group, each of which may be connected in parallel to first common delivery lines and first common exhaust lines. The device may include a second row of depositors of a second redundant group, each of which is connected in parallel to second common delivery and second common exhaust lines. The first row of depositors and the second row of depositors may operate independently from one another. The device may be disposed within a deposition chamber and in proximity of a substrate.
    Type: Grant
    Filed: May 1, 2019
    Date of Patent: September 14, 2021
    Assignee: Universal Display Corporation
    Inventors: William E. Quinn, Gregory McGraw, Gregg Kottas, Xin Xu, Julia J. Brown
  • Patent number: 11114330
    Abstract: A workpiece support has a support surface where one or more standoffs are selectively removably coupled to the support surface. The one or more standoffs are operable to support a workpiece at a predetermined standoff distance from the support surface. A gap may be defined between the support surface and the workpiece. The one or more standoffs may be an electrically insulative film, such as a polyimide film that is selectively removably coupled to the support surface by an adhesive. The workpiece support may be an electrostatic chuck (ESC). Electrodes positioned below the support surface may electrostatically attract the workpiece toward the support, where a gas may be introduced in the gap.
    Type: Grant
    Filed: August 23, 2019
    Date of Patent: September 7, 2021
    Assignee: Axcelis Technologies, Inc.
    Inventors: John Baggett, Dave Shaner
  • Patent number: 11105753
    Abstract: A semiconductor equipment architecture WGT for wafer shape and flatness measurement is disclosed. The semiconductor equipment architecture WGT includes a reflective air-bearing chuck and a hybrid wafer thickness gauge. Also disclosed are the corresponding methods of measuring wafer shape and flatness using the architecture, the air-bearing chuck and the hybrid wafer thickness gauge.
    Type: Grant
    Filed: March 1, 2021
    Date of Patent: August 31, 2021
    Assignee: Nanjing LiAn Semiconductor Limited
    Inventor: An Andrew Zeng