Work Support Patents (Class 118/728)
  • Patent number: 8951352
    Abstract: A manufacturing apparatus and an electrode for use with the manufacturing apparatus are provided for deposition of a material on a carrier body. Typically, the carrier body has a first end and a second end spaced from each other. A socket is disposed at each end of the carrier body. The manufacturing apparatus includes a housing that defines a chamber. At least one electrode is disposed through the housing with the electrode at least partially disposed within the chamber for coupling to the socket. The electrode has an exterior surface having a contact region that is adapted to contact the socket. An exterior coating is disposed on the exterior surface of the electrode, outside of the contact region. The exterior coating has an electrical conductivity of at least 9×106 Siemens/meter and a corrosion resistance that is higher than silver in a galvanic series that is based upon room temperature sea water as an electrolyte.
    Type: Grant
    Filed: April 13, 2009
    Date of Patent: February 10, 2015
    Assignee: Hemlock Semiconductor Corporation
    Inventors: David Hillabrand, Theodore Knapp
  • Patent number: 8951347
    Abstract: A film deposition apparatus is provided with a gas nozzle in which ejection holes that eject a reaction gas are formed along a longitudinal direction of the gas nozzle, and a flow regulation member that protrudes from the gas nozzle in either one of upstream and downstream directions of a rotation direction of a turntable. In such a configuration, a separation gas flowing from an upstream side of the rotation direction to the gas nozzle is restricted from flowing between the gas nozzle and the turntable on which a substrate is placed, and the reaction gas flowing upward from the turntable is restricted by the separation gas, thereby impeding a reaction gas concentration in a process area from being lowered.
    Type: Grant
    Filed: November 13, 2009
    Date of Patent: February 10, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Hitoshi Kato, Yasushi Takeuchi
  • Patent number: 8945308
    Abstract: The present invention relates to a cluster tool for processing semiconductor substrates. One embodiment of the present invention provides a mainframe for a cluster tool comprising a transfer chamber having a substrate transferring robot disposed therein. The substrate transferring robot is configured to shuttle substrates among one or more processing chambers directly or indirectly connected to the transfer chamber. The mainframe further comprises a shutter disk shelf configured to store one or more shutter disks to be used by the one or more processing chambers, wherein the shutter disk shelf is accessible to the substrate transferring robot so that the substrate transferring robot can transfer the one or more shutter disks between the shutter disk shelf and the one or more processing chambers directly or indirectly connected to the transfer chamber.
    Type: Grant
    Filed: August 20, 2012
    Date of Patent: February 3, 2015
    Assignee: Applied Materials, Inc.
    Inventor: Jason Schaller
  • Patent number: 8945307
    Abstract: Embodiments of the invention involve a technique and process for coating fine diameter, single strand wire of long continuous lengths with Parylene. The special fixture design and process allows for ultra thin (as thin as 0.2 micron), pore free, coatings. The advantages of this technology allow for wire products that offer minimal intrusion, superior routing and winding characteristics, and high heat and chemical resistance. The coating process can also be used for other types of material.
    Type: Grant
    Filed: December 23, 2010
    Date of Patent: February 3, 2015
    Assignee: AeroQuest Research Group LLC
    Inventors: Thomas Lawrence, Nick Stahl, Jay Ahling
  • Patent number: 8944077
    Abstract: A disclosed film deposition apparatus includes a susceptor provided rotatably in a chamber; a substrate receiving portion provided in one surface of the susceptor, for receiving a substrate; a reaction gas supplying member configured to supply a reaction gas to the one surface of the susceptor; a cleaning member including: a first concave member that is provided above the susceptor and open toward the one surface, thereby defining a space of an inverted concave shape, a second concave member provided over the first concave member to define a gas passage between the first concave member and the second concave member, a cleaning gas supplying portion configured to supply a cleaning gas to the space, and an evacuation pipe configured to be in gaseous communication with the gas passage and extend out from the chamber; and an evacuation opening provided in the chamber in order to evacuate the chamber.
    Type: Grant
    Filed: November 13, 2009
    Date of Patent: February 3, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Hitoshi Kato, Manabu Honma
  • Publication number: 20150031192
    Abstract: A substrate carrier arrangement (10, 11) for a coating system (12) is provided, comprising a carrier (1) which comprises at least one support region (3) having a support surface (30), on which a substrate support (2) is arranged, and which support region comprises in the support surface (30) at least one first and one second gas inlet (4, 5), wherein the first gas inlet (4) is at a smaller distance from a center (M) of the support surface (30) than the second gas inlet (5) and wherein the first and second gas inlet (4, 5) comprise mutually independent gas feeds (40, 50) which are arranged to supply gases having mutually different thermal conductivities. A coating system comprising a substrate carrier arrangement and a method for performing a coating process are also provided.
    Type: Application
    Filed: February 20, 2013
    Publication date: January 29, 2015
    Inventor: Thomas Bauer
  • Publication number: 20150030766
    Abstract: A technique and apparatus for cleaning the underside of a pedestal in a single- or multi-station semiconductor processing chamber or tool are provided. Also provided is an integrated vacuum foreline manifold having symmetric flow path lengths that may be used in multi-station semiconductor processing chamber or tool.
    Type: Application
    Filed: July 25, 2013
    Publication date: January 29, 2015
    Inventors: Gary B. Lind, Abhishek A. Manohar, Yan Guan, Raashina Humayun
  • Patent number: 8940097
    Abstract: Provided is a vertical heat treatment apparatus which performs a film-forming process for substrates by supplying a film-forming gas to a plurality of substrates loaded onto a substrate supporter. The substrate supporter is rotated around an inclination axis, and the apparatus includes: a plurality of main holders which are provided at every reception position of the substrates in the substrate supporter and respectively supports the peripheries of the substrates at positions separated from each other in the circumferential direction; and first and second auxiliary holders which are located to be separated from the main holders in the circumferential direction and whose tops are lower than those of the main holders. Each substrate alternates between a position supported by the first auxiliary holder and the main holders and a position supported by the second auxiliary holder and the main holders every rotation of the substrate supporter.
    Type: Grant
    Filed: October 28, 2011
    Date of Patent: January 27, 2015
    Assignee: Tokyo Electron Limited
    Inventor: Kazuhide Hasebe
  • Patent number: 8940096
    Abstract: A vertical thermal processing apparatus including: a substrate supporter; a transfer mechanism to transfer substrates between the substrate supporter and a container; and a thermal processing furnace to process substrates that have been loaded thereinto with the substrate supporter. The substrate supporter includes: support columns located at intervals therebetween to surround the substrates, supporting parts for substrate and supporting parts for annular plate provided at the support columns in a tier-like manner, for alternately supporting peripheral parts of the substrates and of annular plates at predetermined intervals therebetween, and annular plates to be supported by the supporting parts for annular plate, when seen from a direction in which the substrates are transferred. Each of the annular plates has an intermediate part having a thickness smaller than thicknesses of the peripheral parts thereof to be supported by the support columns.
    Type: Grant
    Filed: August 28, 2009
    Date of Patent: January 27, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Satoshi Asari, Katsuya Toba, Izumi Satoh, Yuichiro Sase
  • Publication number: 20150020736
    Abstract: Embodiments of substrate support rings providing more uniform thickness of layers deposited or grown on a substrate are provided herein. In some embodiments, a substrate support ring includes: an inner ring with a centrally located support surface to support a substrate; and an outer ring extending radially outward from the support surface, wherein the outer ring comprises a reaction surface area disposed above and generally parallel to a support plane of the support surface, and wherein the reaction surface extends beyond the support surface by about 24 mm to about 45 mm.
    Type: Application
    Filed: June 26, 2014
    Publication date: January 22, 2015
    Inventors: Heng Pan, Lara Hawrylchak, Christopher S. Olsen
  • Publication number: 20150011025
    Abstract: A system for depositing selenium on a substrate comprises includes a substrate carrier including a body, means for holding the substrate, and a plurality of selenium vapor outlets formed in the body to direct a flux of selenium vapor onto the substrate. A selenium supply container provides selenium vapor to the selenium vapor outlets. At least one temperature sensor is coupled to the substrate carrier to sense temperature of the substrate. A heat source is positioned to heat the substrate. A controller is coupled to the temperature sensor and the heat source.
    Type: Application
    Filed: July 3, 2013
    Publication date: January 8, 2015
    Inventors: Wei-Lun LU, Jyh-Lih WU, Wen-Tsai YEN
  • Patent number: 8926754
    Abstract: A susceptor for use in an epitaxial growth apparatus and method where a plurality of circular through-holes are formed in the bottom wall of a pocket in an outer peripheral region a distance of up to about ½ the radius toward the center of the circular bottom wall. The total opening surface area of these through-holes is 0.05 to 55% of the surface area of the bottom wall. The opening surface area of each of the through-holes provided at this outer peripheral region is 0.2 to 3.2 mm2 and the density of the through-holes is 0.25 to 25 per cm2. After a semiconductor wafer is mounted in the pocket, epitaxial growth is carried out while source gas and carrier gas (i.e., reactive gas) is made to flow on the upper surface side of the susceptor and carrier gas is made to flow on the lower surface side.
    Type: Grant
    Filed: August 25, 2009
    Date of Patent: January 6, 2015
    Assignee: Sumitomo Mitsubishi Silicon Corporation
    Inventors: Masayuki Ishibashi, John F. Krueger, Takayuki Dohi, Daizo Horie, Takashi Fujikawa
  • Patent number: 8926753
    Abstract: Material gas hits the outer peripheral surface of a dam member and rides on the upper surface side, and then is allowed to flow along the main surface of a silicon single-crystal substrate placed on a susceptor. An upper lining member is disposed above the dam member so as to face the dam member. A gas introducing clearance is formed between the dam member and the upper lining member. In a vapor growth device, the upper lining member is regulated in size so that the length, formed in a direction along the horizontal reference line, of the gas introducing clearance gradually decreases as it is away from the horizontal reference line or is kept constant at any position. A vapor growth device capable of making more uniform the flowing route of a material gas flowing on the silicon single-crystal substrate, and a production method for an epitaxial wafer are provided.
    Type: Grant
    Filed: November 18, 2004
    Date of Patent: January 6, 2015
    Assignee: Shin-Etsu Handotai Co., Ltd.
    Inventor: Toru Yamada
  • Patent number: 8927302
    Abstract: Provided are a CVD apparatus and a method of manufacturing a light emitting device using the same. The CVD apparatus includes a chamber body including a susceptor having at least one pocket part having a wafer stably mounted therein; a chamber cover provided with the chamber body to open or close the chamber body and having a reaction space between the susceptor and the chamber cover; a reactive gas supplier supplying the reactive gas into the reaction space to allow the reactive gas to flow across a surface of the susceptor; and a non-reactive gas supplier supplying a non-reactive gas into the reaction space to allow the non-reactive gas to flow across a surface of the chamber cover between the susceptor and the chamber cover so as to prevent the reactive gas from contacting the surface of the chamber cover.
    Type: Grant
    Filed: December 20, 2011
    Date of Patent: January 6, 2015
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jun Woo Kim, Takeya Motonobu, In Hoe Hur, Choo Ho Kim, Jae Bong Lee
  • Publication number: 20150000599
    Abstract: A apparatus includes a susceptor and a non-reactive gas source. The susceptor has through holes and a wafer support surface. Each through hole includes a lift pin and a lift pin head. The lift pin has a vertical degree of motion in the through hole to lift up or place a wafer on the susceptor. The lift pin head has at least one flow channel structure running from its first surface at least partially exposed to a bottom side of the susceptor through its second surface exposed to a top side of the susceptor wherein the lift pin. The non-reactive gas source is configured to flow a gas to a backside of the wafer through the flow channel structure through the bottom side of the susceptor.
    Type: Application
    Filed: June 27, 2013
    Publication date: January 1, 2015
    Inventors: Yen-Yu Chen, Wei-Jen Chen, Yi-Chen Chiang, Tsang-Yang Liu, Chang-Sheng Lee, Wei-Chen Liao, Wei Zhang
  • Publication number: 20140366807
    Abstract: Disclosed is an apparatus for fabricating an ingot, and a method of fabricating the ingot. The apparatus includes a crucible to receive a raw material, and a holder to fix a seed positioned on the raw material. The holder fixes a plurality of seeds.
    Type: Application
    Filed: July 10, 2012
    Publication date: December 18, 2014
    Applicant: LG INNOTEK CO., LTD.
    Inventors: Ji Hye Kim, Chang Hyun Son
  • Patent number: 8911554
    Abstract: A method and apparatus for processing multiple substrates simultaneously is provided. Each substrate may have two major active surfaces to be processed. The apparatus has a substrate handling module and a substrate processing module. The substrate handling module has a loader assembly, a flipper assembly, and a factory interface. Substrates are disposed on a substrate carrier at the loader assembly. The flipper assembly is used to flip all the substrates on a substrate carrier in the event two-sided processing is required. The factory interface positions substrate carriers holding substrates for entry into and exit from the substrate processing module. The substrate processing module comprises a load-lock, a transfer chamber, and a plurality of processing chambers, each configured to process multiple substrates disposed on a substrate carrier.
    Type: Grant
    Filed: January 4, 2011
    Date of Patent: December 16, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Steven Verhaverbeke, Jose Antonio Marin
  • Patent number: 8911601
    Abstract: Embodiments of the invention generally relate to a process kit for a semiconductor processing chamber, and a semiconductor processing chamber having a kit. More specifically, embodiments described herein relate to a process kit including a deposition ring and a pedestal assembly. The components of the process kit work alone, and in combination, to significantly reduce their effects on the electric fields around a substrate during processing.
    Type: Grant
    Filed: October 25, 2011
    Date of Patent: December 16, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Muhammad Rasheed, Keith A. Miller, Rongjun Wang
  • Publication number: 20140360430
    Abstract: A wafer carrier assembly for use in a system for growing epitaxial layers on one or more wafers by chemical vapor deposition (CVD), the wafer carrier assembly includes a wafer carrier body formed symmetrically about a central axis, and including a generally planar top surface that is situated perpendicularly to the central axis and a planar bottom surface that is parallel to the top surface. At least one wafer retention pocket is recessed in the wafer carrier body from the top surface. Each of the at least one wafer retention pocket includes a floor surface and a peripheral wall surface that surrounds the floor surface and defines a periphery of that wafer retention pocket. At least one thermal control feature includes an interior cavity or void formed in the wafer carrier body and is defined by interior surfaces of the wafer carrier body.
    Type: Application
    Filed: June 5, 2014
    Publication date: December 11, 2014
    Inventors: Eric Armour, Sandeep Krishnan, Alex Zhang, Bojan Mitrovic, Alexander Gurary
  • Patent number: 8906161
    Abstract: A tubular electrode (215) and a tubular magnet (216) are installed on an external section of a processing furnace (202) for an MMT device. A susceptor (217) for holding a wafer (200) is installed inside a processing chamber (201) of the processing furnace. A gate valve (244) for conveying the wafer into and out of the processing chamber; and a shower head (236) for spraying processing gas in a shower onto the wafer, are installed inside the processing furnace. A high frequency electrode (2) and a heater (3) are installed inside the susceptor (217) with a clearance between them and the walls forming the space. The clearances formed between the walls forming the space in the susceptor and the high frequency electrode and the heater prevent damage to the high frequency electrode and the heater even if a thermal expansion differential occurs between the high frequency electrode, the heater and the susceptor.
    Type: Grant
    Filed: June 30, 2009
    Date of Patent: December 9, 2014
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Katsuhisa Kasanami, Toshimitsu Miyata, Mitsunori Ishisaka
  • Patent number: 8906162
    Abstract: Metal organic chemical vapor deposition equipment is metal organic chemical vapor deposition equipment for forming a film on a substrate by using a reactant gas, and includes a susceptor heating the substrate and having a holding surface for holding the substrate, and a flow channel for introducing the reactant gas to the substrate. The susceptor is rotatable with the holding surface kept facing an inner portion of the flow channel, and a height of the flow channel along a flow direction of the reactant gas is kept constant from a position to a position, and is monotonically decreased from the position to the downstream side. It is thereby possible to improve film formation efficiency while allowing the formed film to have a uniform thickness.
    Type: Grant
    Filed: January 27, 2012
    Date of Patent: December 9, 2014
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Masaki Ueno, Toshio Ueda, Eiryo Takasuka
  • Publication number: 20140352620
    Abstract: A guiding assembly is used in a coating device. The coating device includes a target and an umbrella stand. The guiding assembly is located between the target and the umbrella stand, and includes a motor, a transmission unit driven by the motor, and a plurality of guiding boards driven by the transmission unit. The guiding boards are rotatable to control a direction of motion of particles stimulated from the target.
    Type: Application
    Filed: April 23, 2014
    Publication date: December 4, 2014
    Applicant: HON HAI PRECISION INDUSTRY CO., LTD.
    Inventor: SHAO-KAI PEI
  • Patent number: 8900367
    Abstract: An apparatus for manufacturing a large-area carbon nanotube film is provided. The apparatus includes a reactor chamber, a substrate having a curved, three-dimensional surface and a supporter received in the reactor chamber. The curved, three-dimensional curve surface of the substrate is provided for growing the carbon nanotube film thereon. The supporter is provided for fixing the substrate in the reactor chamber. The present invention also provides a method for manufacturing a large-area carbon nanotube film employing this apparatus.
    Type: Grant
    Filed: December 8, 2006
    Date of Patent: December 2, 2014
    Assignees: Tsinghua University, Hon Hai Precision Industry Co., Ltd.
    Inventors: Chang-Hong Liu, Shou-Shan Fan
  • Patent number: 8899565
    Abstract: A sputtering device includes a chamber; and a substrate transferring unit for loading a substrate into, or unloading the substrate from the chamber, the substrate transferring unit including a gas injection assembly forming a gas cushion between the substrate and an upper surface of the substrate transferring unit.
    Type: Grant
    Filed: June 9, 2010
    Date of Patent: December 2, 2014
    Assignee: LG Display Co., Ltd.
    Inventor: Sung Eun Kim
  • Patent number: 8901464
    Abstract: A layered heater is provided that includes at least one resistive layer having a resistive circuit pattern, the resistive circuit pattern defining a length, a width, and a thickness, wherein the thickness varies along the length of the resistive circuit pattern and/or the width of the resistive circuit pattern for a variable watt density. The present disclosure also provides layered heaters having a resistive circuit pattern with a variable thickness along with a variable width and/or spacing of the resistive circuit pattern in order to produce a variable watt density.
    Type: Grant
    Filed: November 12, 2012
    Date of Patent: December 2, 2014
    Assignee: Watlow Electric Manufacturing Company
    Inventors: Kevin Ptasienski, James McMillin, Thomas T. Nagl, Rolando O. Juliano
  • Patent number: 8898928
    Abstract: An apparatus for delamination drying a substrate is provided. A chamber for receiving a substrate is provided. A chuck supports and clamps the substrate within the chamber. A temperature controller controls the temperature of the substrate and is able to cool the substrate. A vacuum pump is in fluid connection with the chamber. A tilting mechanism is able to tilt the chuck at least 90 degrees.
    Type: Grant
    Filed: October 11, 2012
    Date of Patent: December 2, 2014
    Assignee: Lam Research Corporation
    Inventors: Stephen M. Sirard, Diane Hymes, Alan M. Schoepp, Ratchana Limary
  • Publication number: 20140349012
    Abstract: The invention relates to a method for drying, modelling and/or thermally modifying wooden parts, comprising the steps of: a) arranging the wooden parts in a bath; b) filling the bath with a liquid, for instance paraffin or (linseed) oil, wherein the wooden parts are sealed from the ambient air by the liquid; c) the liquid being heated for some time, preferably between 100° C. and 300° C., for the purpose of drying, modelling and/or thermally modifying the wooden parts; d) removing the wooden parts from the bath. The invention also relates to a device for performing the method according to the invention for drying, modelling and/or thermally modifying wooden parts, comprising:—a bath adapted to receive the wooden parts, which bath is intended to receive a liquid such as paraffin or (linseed) oil—heating means for heating the liquid. Finally, the invention relates to a covering and rack as parts of the device according to the invention.
    Type: Application
    Filed: December 21, 2012
    Publication date: November 27, 2014
    Inventor: Jan LANGKAMP
  • Publication number: 20140349469
    Abstract: This disclosure provides systems, methods and apparatus for processing multiple substrates in a processing tool. An apparatus for processing substrates can include a process chamber, a common reactant source, and a common exhaust pump. The process chamber can be configured to process multiple substrates. The process chamber can include a plurality of stacked individual subchambers. Each subchamber can be configured to process one substrate. The common reactant source can be configured to provide reactant to each of the subchambers in parallel. The common exhaust pump can be connected to each of the subchambers.
    Type: Application
    Filed: May 22, 2013
    Publication date: November 27, 2014
    Applicant: QUALCOMM MEMS TECHNOLOGIES, INC.
    Inventors: Teruo Sasagawa, Sandeep K. Giri, Ana R. Londergan, Shih-chou Chiang
  • Patent number: 8894806
    Abstract: A plasma processing apparatus includes a vacuum evacuable processing chamber; a lower electrode for mounting a target substrate in the processing chamber; a focus ring attached to the lower electrode to cover at least a portion of a peripheral portion of the lower electrode; an upper electrode disposed to face the lower electrode in parallel in the processing chamber; a processing gas supply unit for supplying a processing gas to a processing space; and a radio frequency (RF) power supply for outputting an RF power. Further, the plasma processing apparatus includes a plasma generating RF power supply section for supplying the RF power to a first load for generating a plasma of the processing gas; and a focus ring heating RF power supply section for supplying the RF power to a second load for heating the focus ring.
    Type: Grant
    Filed: March 26, 2010
    Date of Patent: November 25, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Chishio Koshimizu, Yohei Yamazawa
  • Patent number: 8895452
    Abstract: A semiconductor substrate support for use in a plasma processing apparatus comprises a chuck body having a plenum and three radially extending bores extending between the plenum and an outer periphery of the chuck body, wherein the chuck body is sized to support a semiconductor substrate having a diameter of at least 450 mm. The semiconductor substrate support further comprises three tubular support arms which include a first section extending radially outward from the outer periphery of the chuck body, and a second section extending vertically from the first section. The tubular support arms provide a passage therethrough which communicates with a respective bore in the chuck body. The second section of each tubular support arm is configured to engage with a respective actuation mechanism outside the chamber operable to effect vertical translation and planarization of the chuck body in the interior of a plasma processing chamber.
    Type: Grant
    Filed: May 31, 2012
    Date of Patent: November 25, 2014
    Assignee: Lam Research Corporation
    Inventors: Jerrel Kent Antolik, Yen-kun Victor Wang, John Holland
  • Patent number: 8895356
    Abstract: A chemical vapor deposition apparatus includes: a reaction chamber including an inner tube having a predetermined volume of an inner space, and an outer tube tightly sealing the inner tube; a wafer holder disposed within the inner tube and on which a plurality of wafers are stacked at predetermined intervals; and a gas supply unit including at least one gas line supplying an external reaction gas to the reaction chamber, and a plurality of spray nozzles communicating with the gas line to spray the reaction gas to the wafers, whereby semiconductor epitaxial thin films are grown on the surfaces of the wafers, wherein the semiconductor epitaxial thin film grown on the surface of the wafer includes a light emitting structure in which a first-conductivity-type semiconductor layer, an active layer, and a second-conductivity-type semiconductor layer are sequentially formed.
    Type: Grant
    Filed: June 30, 2011
    Date of Patent: November 25, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jong Sun Maeng, Young Sun Kim, Hyun Wook Shim, Sung Tae Kim
  • Patent number: 8894768
    Abstract: A substrate processing apparatus that simultaneously forms thin films on a plurality of substrates and performs heat treatment includes: a plurality of substrate holders, each including a substrate support that supports a substrate and a first gas pipe having one or a plurality of injection holes; a boat where the plurality of substrate holders are stacked and including a second gas pipe connected with the first gas pipe of each of the substrate holders; a process chamber providing a space in which the substrates stacked in the boat are processed; a conveying unit that carries the boat into/out of the process chamber; a first heating unit disposed outside the process chamber; and a gas supply unit including a third gas pipe connected with the second gas pipe and supplying a heated or cooled gas into the second gas pipe.
    Type: Grant
    Filed: January 6, 2011
    Date of Patent: November 25, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Byoung-Keon Park, Ki-Yong Lee, Jin-Wook Seo, Min-Jae Jeong, Jong-Won Hong, Heung-Yeol Na, Tae-Hoon Yang, Yun-Mo Chung, Eu-Gene Kang, Seok-Rak Chang, Dong-Hyun Lee, Kil-Won Lee, Jong-Ryuk Park, Bo-Kyung Choi, Won-Bong Baek, Ivan Maidanchuk, Byung-Soo So, Jae-Wan Jung
  • Patent number: 8895456
    Abstract: A method of depositing a film of forming a doped oxide film including a first oxide film containing a first element and doped with a second element on substrates mounted on a turntable including depositing the first oxide film onto the substrates by rotating the turntable predetermined turns while a first reaction gas containing the first element is supplied from a first gas supplying portion, an oxidation gas is supplied from a second gas supplying portion, and a separation gas is supplied from a separation gas supplying portion, and doping the first oxide film with the second element by rotating the turntable predetermined turns while a second reaction gas containing the second element is supplied from one of the first and second gas supplying portions, an inert gas is supplied from another one, and the separation gas is supplied from the separation gas supplying portion.
    Type: Grant
    Filed: December 18, 2013
    Date of Patent: November 25, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Mitsuhiro Tachibana, Hiroaki Ikegawa, Yu Wamura, Muneyuki Otani, Jun Ogawa, Kosuke Takahashi
  • Patent number: 8889214
    Abstract: A deposition amount measuring apparatus includes a plate-shaped body having a rotating shaft, a plurality of deposition amount sensors along side surfaces of the body, the deposition amount sensors being configured to measure an amount of deposition material, and a housing surrounding the body, the housing including an inflow port that exposes one of the deposition amount sensors.
    Type: Grant
    Filed: May 8, 2013
    Date of Patent: November 18, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Kyung-Soo Kim, Seong-Ho Jeong, Hyun-Keun Song, Eu-Gene Kang
  • Patent number: 8882923
    Abstract: A substrate processing apparatus includes: a process chamber having an object to be heated therein and configured to process a plurality of substrates; a substrate holder configured to hold the substrates with an interval therebetween in a vertical direction in the process chamber; a first heat exchange unit supporting the substrate holder from a lower side thereof in the process chamber and configured to perform a heat exchange with a gas in the process chamber; a second heat exchange unit provided in the process chamber, the second heat exchange unit being horizontally spaced apart from the first heat exchange unit with a gap therebetween and being configured to perform a heat exchange with the gas in the process chamber; and an induction heating unit configured to subject the object to be heated to an induction heating from an outer side of the object to be heated.
    Type: Grant
    Filed: October 24, 2012
    Date of Patent: November 11, 2014
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Shuhei Saido, Takatomo Yamaguchi, Daisuke Hara
  • Publication number: 20140326185
    Abstract: The embodiments described herein generally relate to a flow control in a process chamber. The process chamber can include combinations of a flow control exhaust and a broad inject. The flow control exhaust and the broad inject can provide for controlled flow of process gases, as the gases both enter and leave the chamber, as well as controlling the gases already present in the chamber. Therefore, the overall deposition profile can be maintained more uniform.
    Type: Application
    Filed: April 21, 2014
    Publication date: November 6, 2014
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Shu-Kwan LAU, Mehmet Tugrul SAMIR
  • Publication number: 20140326186
    Abstract: A VPE reactor is improved by providing temperature control to within 0.5° C., and greater process gas uniformity via novel reactor shaping, unique wafer motion structures, improvements in thermal control systems, improvements in gas flow structures, improved methods for application of gas and temperature, and improved control systems for detecting and reducing process variation.
    Type: Application
    Filed: July 16, 2014
    Publication date: November 6, 2014
    Inventors: Ajit Paranjpe, Alexander Gurary, William Quinn
  • Patent number: 8877553
    Abstract: The present invention generally comprises a floating slit valve for interfacing with a chamber. A floating slit valve moves or “floats” relative to another object such as a chamber. The slit valve may be coupled between two chambers. When a chamber coupled with the slit valve is heated, the slit valve may also be heated by conduction. As the slit valve is heated, it may thermally expand. When a vacuum is drawn in a chamber, the slit valve may deform due to vacuum deflection. By disposing a low friction material spacer between the chamber and the slit valve, the slit valve may not rub against the chamber during thermal expansion/contraction and/or vacuum deflection and thus, may not generate undesirable particle contaminants. Additionally, slots drilled through the chamber for coupling the slit valve to the chamber may be sized to accommodate thermal expansion/contraction and vacuum deflection of the slit valve.
    Type: Grant
    Filed: August 1, 2011
    Date of Patent: November 4, 2014
    Assignee: Applied Materials, Inc.
    Inventors: John M. White, Shinichi Kurita, Takayuki Matsumoto
  • Patent number: 8876976
    Abstract: Disclosed is a chemical vapor deposition apparatus for equalizing a heating temperature, which maintains the heating temperature of a heater provided therein uniform not only on the lower surface of the heater but also on the upper surface thereof, so that a thin film having a uniform thickness is deposited on a wafer. In order to maintain the heating temperature of the heater of the chemical vapor deposition apparatus uniform, the chemical vapor deposition apparatus includes a thermal insulation reflecting plate for reflecting heat from the lower surface of the heater and a heat dissipation member disposed between the thermal insulation reflecting plate and the heater to be in direct contact with the area of the heater having a high temperature, or includes a heat dissipation member mounted underneath the area of the heater having a high temperature.
    Type: Grant
    Filed: November 1, 2007
    Date of Patent: November 4, 2014
    Assignee: Eugene Technology Co., Ltd.
    Inventor: Pyung-yong Um
  • Patent number: 8869376
    Abstract: A substrate mounting table includes a plate shaped member provided with a mounting surface for mounting a substrate thereon, a plurality of gas injection openings opened on the mounting surface to supply a gas toward the mounting surface, and a gas supply channel for supplying the gas through the gas injection openings; and a thermally sprayed ceramic layer covering the mounting surface. At least inner wall portions of the gas supply channel are formed in curved surface shapes, the inner wall portions facing the gas injection openings.
    Type: Grant
    Filed: July 18, 2013
    Date of Patent: October 28, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Takehiro Ueda, Yoshiyuki Kobayashi, Kaoru Oohashi
  • Patent number: 8869742
    Abstract: An electrode is exposed to a plasma generation volume and is defined to transmit radiofrequency power to the plasma generation volume, and includes an upper surface for holding a substrate in exposure to the plasma generation volume. A gas distribution unit is disposed above the plasma generation volume and in a substantially parallel orientation to the electrode. The gas distribution unit includes an arrangement of gas supply ports for directing an input flow of a plasma process gas into the plasma generation volume in a direction substantially perpendicular to the upper surface of the electrode. The gas distribution unit also includes an arrangement of through-holes that each extend through the gas distribution unit to fluidly connect the plasma generation volume to an exhaust region. Each of the through-holes directs an exhaust flow from the plasma generation volume in a direction substantially perpendicular to the upper surface of the electrode.
    Type: Grant
    Filed: August 4, 2010
    Date of Patent: October 28, 2014
    Assignee: Lam Research Corporation
    Inventors: Rajinder Dhindsa, Alexei Marakhatnov, Andrew D. Bailey, III
  • Patent number: 8858715
    Abstract: The invention relates to a deposition device for comprising a processing space with a substrate support disposed therein, as well as several lift pins (50), which can be moved into and out of the plane of the substrate support to assist in introducing a semiconductor substrate into the processing space and removing it therefrom. The device is characterized in that the contact surface (52) of the lift pin (50) that is to be brought into contact with the semiconductor substrate and/or the substrate support is provided with a material layer (54) which has a lower hardness than the semiconductor substrate and/or the substrate support. This eliminates the risk of damage being caused to the substrate and/or to the substrate support as a result of said substrate shifting undesirably upon being lifted from and lowered onto the substrate support (susceptor). Thus there is no risk of scratches being formed and of particles being released, which might adversely affect the semiconductor manufacturing process.
    Type: Grant
    Filed: September 19, 2008
    Date of Patent: October 14, 2014
    Assignee: XYCarb Ceramics B.V.
    Inventors: Marcus Gerardus Van Munster, Charles Petronella Marie Buijs, Age Leijenaar
  • Patent number: 8858716
    Abstract: In a vacuum processing apparatus, a substrate chuck mechanism member is attached to a substrate holder provided in a vacuum processing chamber, includes a shaft member, first and second coil springs that are provided at the two ends, respectively, of the shaft member, and a substrate chuck plate provided at the end of the shaft member, and is additionally attached to the substrate holder using the substrate chuck plate by elastic biasing of the first coil spring. The holding state of the substrate on the substrate holder is changed by the expansion/contraction actions of the first and second coil springs in accordance with the reciprocal movement of the substrate holder.
    Type: Grant
    Filed: December 21, 2010
    Date of Patent: October 14, 2014
    Assignee: Canon Anelva Corporation
    Inventors: Fumiaki Hoshino, Hajime Hiraiwa, Katsuhiko Miura
  • Patent number: 8851133
    Abstract: Provided is an apparatus and a method of holding a device. The apparatus includes a wafer chuck having first and second holes that extend therethrough, and a pressure control structure that can independently and selectively vary a fluid pressure in each of the first and second holes between pressures above and below an ambient pressure. The method includes providing a wafer chuck having first and second holes that extend therethrough, and independently and selectively varying a fluid pressure in each of the first and second holes between pressures above and below an ambient pressure.
    Type: Grant
    Filed: March 31, 2009
    Date of Patent: October 7, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Martin Liu, Chung-Yi Yu, Che Ying Hsu, Yeur-Luen Tu, Da-Hsiang Chou, Chia-Shiung Tsai
  • Patent number: 8852348
    Abstract: A substrate heat exchange pedestal comprises: (i) a support structure having a contact surface comprising a coating of a diamond-like material, and (ii) a heat exchanger in the support structure, the heat exchanger capable of heating or cooling a substrate.
    Type: Grant
    Filed: October 1, 2010
    Date of Patent: October 7, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Vijay D Parkhe, Kurt J Ahmann, Matthew C Tsai, Steve Sansoni
  • Patent number: 8852693
    Abstract: The present disclosure provides an electronic device and related method, wherein the device has a polymeric coating with low toxicity. The polymeric coating formed by exposing the electronic device to continuous plasma comprising a compound of CH2?C(R1)—COO—R2, where R1 includes —H or —CH3; and where R2 includes —(CH2)2—(CF2)m—CF3 and m is 3 or 5.
    Type: Grant
    Filed: May 19, 2011
    Date of Patent: October 7, 2014
    Assignee: Liquipel IP LLC
    Inventors: Filip Legein, Anthony Vanlandeghem, Peter Martens
  • Patent number: 8852349
    Abstract: According to one aspect of the invention, an apparatus for reducing auto-doping of the front side of a substrate and reducing defects on the backside of the substrate during an epitaxial deposition process for forming an epitaxial layer on the front side of the substrate comprising: a means for forming a wafer gap region between the backside of the substrate and a susceptor plate, having an adjustable thickness; a means for ventilating auto-dopants out of the wafer gap region with a flow of inert gas, while inhibiting or prohibiting the flow of inert gas over the front side of the substrate; and a means for flowing reactant gases over the surface of the front side of the substrate, while inhibiting or prohibiting the flow of reactant gases near the surface of the backside of the substrate.
    Type: Grant
    Filed: September 15, 2006
    Date of Patent: October 7, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Juan Chacin, Roger Anderson, Kailash Patalay, Craig Metzner
  • Publication number: 20140290862
    Abstract: A power supply device supplies power to a substrate holder having a plurality of electrodes. The device includes a first fixed conductive member, a second fixed conductive member, a fixed insulating member fixed to an insulating housing portion and configured to insulate the first fixed conductive member from the second fixed conductive member, a first rotation conductive member, a second rotation conductive member, a rotation insulating member fixed to an insulating column portion and configured to insulate the first rotation conductive member from the second rotation conductive member, a first power supply member configured to supply a first voltage to the substrate holder via the first rotation conductive member and the first fixed conductive member, and a second power supply member configured to supply a second voltage to the substrate holder via the second rotation conductive member and the second fixed conductive member.
    Type: Application
    Filed: June 12, 2014
    Publication date: October 2, 2014
    Inventor: KYOSUKE SUGI
  • Patent number: 8845857
    Abstract: A substrate processing apparatus includes a vacuum container, a rotary table to rotate in the vacuum container, a substrate placement member mounted on the rotary table in a detachable manner, the substrate placement member and the rotary table together providing a recess in which a substrate is placed on an upper side of the rotary table, and the substrate placement member constituting a bottom surface in the recess on which the substrate is placed, a position regulating unit provided at least one of the rotary table and the substrate placement member to regulate a movement of the substrate caused by a centrifugal force during rotation of the rotary table, a reactant gas supply unit to supply reactant gas to the upper side of the rotary table, and a vacuum exhaust unit to exhaust the vacuum container.
    Type: Grant
    Filed: November 29, 2010
    Date of Patent: September 30, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Yukio Ohizumi, Manabu Honma
  • Patent number: 8846501
    Abstract: The invention relates to a method for equipping a process chamber in an apparatus for depositing at least one layer on a substrate held by a susceptor in the process chamber, process gases being introduced into the process chamber through a gas inlet element, in particular by means of a carrier gas, the process gases decomposing into decomposition products in the chamber, in particular on hot surfaces, the decomposition products comprising the components that form the layer. In order to improve the apparatus so that thick multi-layer structures can be deposited reproducibly in process steps that follow one another directly, it is proposed that a material is selected for the surface facing the process chamber at least of the wall of the process chamber that is opposite the susceptor, the optical reflectivity, optical absorptivity and optical transmissivity of which respectively correspond to those of the layer to be deposited during the layer growth.
    Type: Grant
    Filed: June 8, 2010
    Date of Patent: September 30, 2014
    Assignee: Aixtron SE
    Inventor: Gerhard Karl Strauch