Plasma Cleaning Patents (Class 134/1.1)
  • Patent number: 7887637
    Abstract: In a substrate processing apparatus for performing a plasma process on a substrate including a tungsten-containing film, cleaning is performed for a process chamber. This cleaning includes, after the plasma process, supplying a gas containing O2 into the process chamber without setting the process chamber opened to the atmosphere, and generating plasma of the gas to clean the process chamber.
    Type: Grant
    Filed: February 17, 2005
    Date of Patent: February 15, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Shigenori Ozaki, Hideyuki Noguchi, Yoshiro Kabe, Kazuhiro Isa, Masaru Sasaki
  • Publication number: 20110017231
    Abstract: The present invention achieves a method of cleaning a support plate according to which, while no waste solution is produced after cleaning the support plate, the support plate can be treated at low cost. The method of cleaning the support plate includes the step of removing an organic substance adhered to the support plate by putting the support plate in contact with oxygen plasma.
    Type: Application
    Filed: July 16, 2010
    Publication date: January 27, 2011
    Inventors: Tatsuhiro MITAKE, Miyanari Atsushi, Inao Yoshihiro
  • Patent number: 7875125
    Abstract: The invention features in-situ cleaning process for an ion source and associated extraction electrodes and similar components of the ion-beam producing system, which chemically removes carbon deposits, increasing service lifetime and performance, without the need to disassemble the system. In particular, an aspect of the invention is directed to an activating, catalytic, or reaction promoting species added to the reactive species to effectively convert the non-volatile molecular residue into a volatile species which can be removed by conventional means.
    Type: Grant
    Filed: September 19, 2008
    Date of Patent: January 25, 2011
    Assignee: SemEquip, Inc.
    Inventors: Kevin S. Cook, Dennis Manning, Edward K. McIntyre, Richard Goldberg
  • Patent number: 7871585
    Abstract: The invention relates to a method for treating fumes generated during the production, conversion and/or handling of oil-based products and a device for carrying out said method. The invention further relates to the use of the method or device in which the trapping device comprises at least one fluidized bed of granular material in the preparation of a granular material for use in production of road materials.
    Type: Grant
    Filed: December 24, 2004
    Date of Patent: January 18, 2011
    Assignee: Eurovia
    Inventors: Michel Maze, Jean-Pierre Marchand, Jacques Amouroux, Sergey Dresvin, Pascal Rousseau
  • Publication number: 20110006034
    Abstract: A method of removing resist material from a substrate having a magnetically active surface is provided. The substrate is disposed in a processing chamber and exposed to a fluorine-containing plasma formed from a gas mixture having a reagent, an oxidizing agent, and a reducing agent. A cleaning agent may also be included. The substrate may be cooled by back-side cooling or by a cooling process wherein a cooling medium is provided to the processing chamber while the plasma treatment is suspended. Substrates may be flipped over for two-sided processing, and multiple substrates may be processed concurrently.
    Type: Application
    Filed: June 23, 2010
    Publication date: January 13, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Martin A. Hilkene, Majeed A. Foad, Matthew D. Scotney-Castle, Roman Gouk, Steven Verhaverbeke, Peter I. Porshnev
  • Publication number: 20100319813
    Abstract: Bare aluminum baffles are adapted for resist stripping chambers and include an outer aluminum oxide layer, which can be a native aluminum oxide layer or a layer formed by chemically treating a new or used bare aluminum baffle to form a thin outer aluminum oxide layer.
    Type: Application
    Filed: September 1, 2010
    Publication date: December 23, 2010
    Applicant: Lam Research Corporation
    Inventors: Fred D. Egley, Michael S. Kang, Anthony L. Chen, Jack Kuo, Hong Shih, Duane Outka, Bruno Morel
  • Patent number: 7846257
    Abstract: The substrate processing apparatus includes a plurality of processing chambers. A given processing chamber is cleaned by first executing first processing during which voltage application control is executed to control a voltage applied to an electrostatic chuck based upon first processing voltage application information provided for the particular processing chamber while drawing an inert gas into the processing chamber and evacuating the processing chamber sustaining therein low pressure conditions therein and then executing second processing during which voltage application control is executed to control the voltage application to the electrostatic chuck based upon second processing voltage application information for the processing chamber while drawing in the inert gas and evacuating the processing chamber, the internal pressure of which is set to a high level.
    Type: Grant
    Filed: October 12, 2006
    Date of Patent: December 7, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Hiroshi Nakamura, Kiyohito Iijima
  • Patent number: 7846266
    Abstract: Cleaning and reclaiming nano-imprint templates using environment friendly methods and systems is disclosed. A template may be cleaned by a combination of exposure to activated gaseous species followed by rinsing with oxygenated or hydrogenated DI water and exposure to reactive plasma to remove organic contaminant. Contaminant may be removed by forming a coating film of a water soluble polymer on the template and then peeling off the coating film. Organic residue from the film may be removed using oxygenated plasma.
    Type: Grant
    Filed: February 17, 2006
    Date of Patent: December 7, 2010
    Assignee: KLA-Tencor Technologies Corporation
    Inventor: Tony Dibiase
  • Publication number: 20100300482
    Abstract: A resist removal apparatus 1 can remove a resist on a substrate at a low temperature of 90° C. or less. That is, the resist removal apparatus 1 has a chamber 2 which holds a substrate 16 coated with a resist 17 that is going to be removed, and can heat the substrate 16, also into which unsaturated hydrocarbon gas or fluorine substitution product gas of unsaturated hydrocarbon together with ozone gas are supplied at a lower pressure than an atmospheric pressure. An internal pressure of the chamber 2 is controlled so that a temperature of the substrate 16 is 90° C. or less. As the ozone gas, ultra-high concentration ozone gas that is obtained by separating only ozone from ozone-containing gas by a difference of vapor pressure through liquefaction separation and by vaporizing the above ozone again, is given. It is preferable to supply ultrapure water to a treated substrate 16 for cleaning. The chamber 2 is provided with a susceptor 15 that holds the substrate 16.
    Type: Application
    Filed: November 20, 2008
    Publication date: December 2, 2010
    Inventor: Toshinori Miura
  • Publication number: 20100301012
    Abstract: A device for producing microwave plasma with a high plasma density. The device comprises at least one microwave supply that is surrounded by an outer dielectric tube. The microwave supply is surrounded by, in addition to the outer dielectric tube, at least one inner dielectric tube that extends inside the outer dielectric tube. The outer dielectric tube and the at least one inner dielectric tube form at least one area that is suitable for receiving and conducting a fluid. The device can be cooled by a fluid. A process gas can be fed into the plasma region by the outer dielectric tube.
    Type: Application
    Filed: October 11, 2007
    Publication date: December 2, 2010
    Applicant: Iplas Innovative Plasma Systems GmbH
    Inventor: Ralf Spitzl
  • Publication number: 20100300483
    Abstract: A vacuum processing method using an apparatus including a processing chamber disposed in a vacuum reactor and having plasma formed thereon, a sample stage having a sample placed on the upper plane thereof, and a gas introducing mechanism, wherein the sample stage includes a gas supply port for introducing thermal conductance gas between the sample stage and the sample to be processed. The method includes placing a dummy sample on the sample stage, introducing dust removal gas between the sample stage and the dummy sample, and removing particles attached to the sample stage via the flow of dust removal gas.
    Type: Application
    Filed: August 11, 2010
    Publication date: December 2, 2010
    Inventors: Ken Kitaoka, Masamichi Sakaguchi, Kazue Takahasi
  • Publication number: 20100304146
    Abstract: This invention relates to a plasma surface modification process (and a corresponding a system) of a solid object (100) comprising creating plasma (104) by a plasma source (106), application of the plasma (104) to at least a part of a surface (314) of the solid object (100), generating ultrasonic high intensity and high power acoustic waves (102) by at least one ultrasonic high intensity and high power acoustic wave generator (101), wherein the ultrasonic acoustic waves are directed to propagate towards said surface (314) of the object (100) so that a laminar boundary layer (313) of a gas or a mixture of gases (500) flow in contact with said solid object (100) is thinned or destructed for at least a part of said surface (314). In this way, the plasma can more efficiently access and influence the surface of the solid object to be treated by the plasma, which speeds the process time up significantly.
    Type: Application
    Filed: May 9, 2008
    Publication date: December 2, 2010
    Applicants: FORCE TECHNOLOGY, TECHNICAL UNIVERSITY OF DENMARK
    Inventors: Niels Krebs, Alexander Bardenshtein, Yukihiro Kusano, Henrik Bindslev, Henrik Junge Mortensen
  • Publication number: 20100288302
    Abstract: The invention is directed to a method for at least partially removing a contamination layer (15) from an optical surface (14a) of an EUV-reflective optical element (14) by bringing a cleaning gas into contact with the contamination layer. In the method, a jet (20) of cleaning gas is directed to the contamination layer (15) for removing material from the contamination layer (15). The contamination layer (15) is monitored for generating a signal indicative of the thickness of the contamination layer (15) and the jet (20) of cleaning gas is controlled by moving the jet (20) of cleaning gas relative to the optical surface (14a) using this signal as a feedback signal. A cleaning arrangement (19 to 24) for carrying out the method is also disclosed. The invention also relates to a method for generating a jet (20) of cleaning gas and to a corresponding cleaning gas generation arrangement.
    Type: Application
    Filed: May 6, 2010
    Publication date: November 18, 2010
    Inventors: Dirk Heinrich Ehm, Arnold Storm, Johannes Hubertus Josephina Moors, Bastiaan Theodoor Wolschrijn, Thomas Stein, Edwin te Sligte
  • Publication number: 20100292757
    Abstract: A method and a series of devices for dry cleaning, activating, modifying, coating, and biologically decontaminating (degerming, disinfecting, sterilizing) surfaces by means of an atmospheric pressure plasma generated using a surface barrier discharge are provided. The invention is used for dry cleaning, activating, coating, modifying, and biologically contaminating surfaces by means of an atmospheric pressure plasma generated in a defined, flowing gas atmosphere by a surface barrier discharge, comprising a high-voltage electrode that is covered with a dielectric or ferroelectric material, an electrically conducting grounded contact electrode, a high-voltage supply, a gas supply, and a gas nozzle (encompassing a gas outlet); said gas nozzle is located in the direct vicinity of the grounded contact electrode, is integrated into the contact electrode, or acts as the grounded contact electrode.
    Type: Application
    Filed: February 12, 2010
    Publication date: November 18, 2010
    Applicant: NEOPLAS GMBH
    Inventors: Joerg Ehlbeck, Ruediger Foest, Eckhard Kindel, Norbert Lembke, Manfred Stieber, Klaus-Dieter Weltmann
  • Patent number: 7833358
    Abstract: A semiconductor processing chamber is cleaned by introducing a cleaning gas into a processing chamber, striking a plasma in a remote plasma source that is in communication with the processing chamber, measuring the impedance of the plasma, vaporizing a ruthenium containing deposit on a surface of the processing chamber to form a ruthenium containing gas mixture, and flowing the gas mixture through an analyzer and into an exhaust collection assembly. The measurement of the impedance of the plasma in combination with the ruthenium concentration provides an accurate indication of chamber cleanliness.
    Type: Grant
    Filed: April 7, 2006
    Date of Patent: November 16, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Schubert S. Chu, Frederick C. Wu, Christophe Marcadal, Seshadri Ganguli, Dien-Yeh Wu, Kavita Shah, Paul Ma
  • Publication number: 20100275950
    Abstract: The invention relates to a device and a method for the treatment of surfaces with a plasma produced under atmospheric pressure.
    Type: Application
    Filed: December 9, 2008
    Publication date: November 4, 2010
    Inventors: Helmut Mack, Tobias Austermann, Martin Ebner, Michael Leck, Wolfgang Viöl
  • Patent number: 7824499
    Abstract: The present invention provides a method for in-situ cleaning of walls of a reaction chamber, e.g. reactive ion etching chamber, to remove contamination, e.g. copper comprising contamination from the walls. The method comprises converting the contamination, e.g. copper comprising contamination into a halide compound, e.g. copper halide compound and exposing the halide compound, e.g. copper halide compound to a photon comprising ambient, thereby initiating formation of volatile halide products, e.g. volatile copper halide products. The method furthermore comprises removing the volatile halide products, e.g. volatile copper halide products from the reaction chamber to avoid saturation of the volatile halide products, e.g. volatile copper halide products in the reaction chamber in order to avoid re-deposition of the volatile halide products, e.g. volatile copper halide products to the walls of the reaction chamber.
    Type: Grant
    Filed: June 27, 2008
    Date of Patent: November 2, 2010
    Assignees: IMEC, Katholieke Universiteit Leuven
    Inventor: Dries Dictus
  • Publication number: 20100269853
    Abstract: Systems and methods for debris extraction reduce the lifting force on the workpiece through a supply air feature. The supply air feature can be implemented through an extraction nozzle, which has an outer supply duct surrounding an inner exhaust duct. Further reduction of the lifting force can be realized through the use of multiple extraction nozzles which limit exhaust airflow to areas of the workpiece with active laser scribing.
    Type: Application
    Filed: April 27, 2009
    Publication date: October 28, 2010
    Applicant: Applied Materials, Inc.
    Inventors: BENJAMIN JOHNSTON, Shinichi Kurita
  • Publication number: 20100270262
    Abstract: A method of etching a low-k dielectric on, or removing resist from, a substrate. In the method, the substrate is placed in a process zone. An ionized gas is generated in a gas ionization zone above the process zone, by introducing a process gas into a gas ionization zone, maintaining the process gas at a pressure of less than about 0.1 mTorr, and coupling RF energy to the process gas to form an ionized gas. The ionized gas is passed through an ion filter to form a filtered ionized gas. The substrate is exposed to the filtered ionized gas to etch the low-k dielectric layer on the substrate or to remove or clean remnant resist on the substrate.
    Type: Application
    Filed: April 22, 2010
    Publication date: October 28, 2010
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Hiroji HANAWA, Kartik Ramaswamy
  • Patent number: 7819980
    Abstract: A system for semiconductor wafer manufacturing, comprises a chamber process path for processing the wafer, and a device operable to remove particles from the wafer by electrostatic and electromagnetic methodologies wherein the device is installed in the chamber process path.
    Type: Grant
    Filed: August 16, 2005
    Date of Patent: October 26, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Yuan Hsia, Chang-Cheng Hung, Chi-Lun Lu, Shih-Ming Chang, Wen-Chuan Wang, Yen-Bin Huang, Ching-Yu Chang, Chin-Hsiang Lin
  • Patent number: 7819979
    Abstract: A method and system for providing a magnetic structure that includes at least one magnetic material is disclosed. The method and system include defining the magnetic structure. The magnetic structure also includes a top layer that is insensitive to an istroropic carbonyl reactive ion etch. The defining of the magnetic structure results in at least one artifact. The method and system further includes cleaning the at least one artifact using at least one isotropic carbonyl reactive ion etch.
    Type: Grant
    Filed: January 31, 2005
    Date of Patent: October 26, 2010
    Assignee: Western Digital (Fremont), LLC
    Inventors: Benjamin Chen, Yun-Fei Li, Hugh C. Hiner, Wei Zhang, Yingjian Chen
  • Patent number: 7819981
    Abstract: A method and apparatus for cleaning residue from components of an ion source region of an ion implanter used in the fabrication of microelectronic devices. To effectively remove residue, the components are contacted with a gas-phase reactive halide composition for sufficient time and under sufficient conditions to at least partially remove the residue. The gas-phase reactive halide composition is chosen to react selectively with the residue, while not reacting with the components of the ion source region or the vacuum chamber.
    Type: Grant
    Filed: October 26, 2004
    Date of Patent: October 26, 2010
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Frank DiMeo, Jr., James Dietz, W. Karl Olander, Robert Kaim, Steven E. Bishop, Jeffrey W. Neuner, Jose I. Arno
  • Publication number: 20100263685
    Abstract: A method for preparing (e.g., cleaning or stripping) an optical fiber that includes reducing the gap resistance between two electrodes by injecting negative ions into the gas or gasses that are located between the electrodes. As a result, the voltage that is required to cause dielectric breakdown and initiation of the electrical arc is drastically reduced.
    Type: Application
    Filed: May 30, 2007
    Publication date: October 21, 2010
    Inventor: Robert G. Wiley
  • Publication number: 20100261332
    Abstract: The present invention relates to a wafer cleaning and a wafer bonding method using the same that can improve a yield of cleaning process and bonding property in bonding the cleaned wafer by cleaning the wafer using atmospheric pressure plasma and cleaning solution. The wafer cleaning method includes the steps of providing a process chamber with a wafer whose bonding surface faces upward, cleaning and surface-treating the bonding surface of the wafer by supplying atmospheric pressure plasma and a cleaning solution to the bonding surface of the wafer, and withdrawing out the wafer from the process chamber.
    Type: Application
    Filed: October 31, 2007
    Publication date: October 14, 2010
    Inventors: In Sung Kim, Chien Yun Tao, Jeong Il Kang
  • Publication number: 20100255667
    Abstract: It was found out that when radicals generated by plasma are fed to a treatment chamber via a plurality of holes (111) formed on a partition plate which separates a plasma-forming chamber (108) from the treatment chamber, and the radicals are mixed with a treatment gas which is separately fed to the treatment chamber, the excitation energy of the radicals is suppressed and thereby the substrate surface treatment at high Si-selectivity becomes possible, which makes it possible to conduct the surface treatment of removing native oxide film and organic matter without deteriorating the flatness of the substrate surface. The radicals in the plasma are fed to the treatment chamber via radical-passing holes (111) of a plasma-confinement electrode plate (110) for plasma separation, the treatment gas is fed to the treatment chamber (121) to be mixed with the radicals in the treatment chamber, and then the substrate surface is cleaned by the mixed atmosphere of the radicals and the treatment gas.
    Type: Application
    Filed: April 23, 2010
    Publication date: October 7, 2010
    Applicant: CANON ANELVA CORPORATION
    Inventors: Takuya SEINO, Manabu IKEMOTO, Kimiko MASHIMO
  • Publication number: 20100252067
    Abstract: The invention concerns a device and a process, the device being a cleaning device utilizing a dry chemical means assisted by plasma from a reactor (10) containing an unwanted deposit on its walls and at least one other polarizable surface (12), characterized in that it comprises means (13, 14) for positively polarizing one or each of the polarizable surfaces relative to the reactor walls maintained at a reference potential.
    Type: Application
    Filed: June 13, 2007
    Publication date: October 7, 2010
    Inventors: Jacques Henri Pelletier, Ana Lacoste, Alexandre Bes, Stéphane Jean Louis Bechu, Jérôme Sirou
  • Publication number: 20100252068
    Abstract: A plasma generation method in a toroidal plasma generator that includes a gas passage having a gas entrance and a gas outlet and forming a circuitous path and a coil wound around a part of the gas passage includes the steps of supplying a mixed gas of an Ar gas and an NF3 gas containing at least 5% of NF3 and igniting plasma by driving the coil with a high-frequency power, wherein the plasma ignition step is conducted under a total pressure of 6.65-66.5 Pa.
    Type: Application
    Filed: April 1, 2010
    Publication date: October 7, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Hiroshi KANNAN, Noboru Tamura, Kazuya Dobashi
  • Publication number: 20100243608
    Abstract: There is provided a plasma processing apparatus capable of varying an AC ratio without installing a largely scaled-up movable unit. An etching apparatus 10, which performs a plasma process on a wafer W within a processing chamber, includes a control member which is installed such that at least a part of the control member is in contact with a plasma region within the processing chamber, and an impedance control circuit 210 which is connected with the control member and adjusts a ground capacitance of the plasma region by controlling an electrical connection state between the control member and a ground plane.
    Type: Application
    Filed: March 31, 2010
    Publication date: September 30, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Chishio Koshimizu
  • Publication number: 20100248490
    Abstract: Provided is a substrate dechucking system of a plasma processing chamber adapted to remove a substrate from an ESC with reduction in voltage potential spike during dechucking of the substrate.
    Type: Application
    Filed: March 24, 2009
    Publication date: September 30, 2010
    Applicant: Lam Research Corporation
    Inventors: Brian McMillin, Jose V. Tong, Yen-Kun Victor Wang
  • Patent number: 7799138
    Abstract: The method and apparatus of the embodiments of the present invention employ an in-situ particle decontamination technique that allows for such decontamination while a wafer is a vacuum tool or deposition chamber, thereby eliminating the need for another device for performing decontamination. This in-situ decontamination is effective for particle contamination resulting, for example, from tool resident mechanical component. Furthermore, particle decontamination is performed in the presence of plasma, having a potential for helping to maximize a “self bias” voltage, under RF conditions, and is integrated into the vacuum process.
    Type: Grant
    Filed: June 22, 2006
    Date of Patent: September 21, 2010
    Assignee: Hitachi Global Storage Technologies Netherlands
    Inventors: Paul Alejon Fontejon, Jr., Yunxiao Gao, Yinshi Liu, Ning Shi
  • Patent number: 7791281
    Abstract: Method and apparatus for generating and sustaining a glow discharge plasma in a plasma discharge space comprising at least two spaced electrodes. The method and apparatus are arranged for performing the steps of introducing in the discharge space a gas or gas mixture under atmospheric pressure conditions, energizing the electrodes by applying an AC energizing voltage (Va) to the electrodes, and controlling the energizing voltage (Va) such that at plasma generation a sharp relative decrease of displacement current is provided.
    Type: Grant
    Filed: December 22, 2004
    Date of Patent: September 7, 2010
    Assignee: Fuji Photo Film B.V.
    Inventors: Eugen Aldea, Jan Bastiaan Bouwstra, Mauritius Cornelius Maria Van De Sanden, Hindrik Willem De Vries
  • Patent number: 7789965
    Abstract: A method of cleaning a UV irradiation chamber includes steps of: (i) after completion of irradiating a substrate with UV light transmitted through an optical transmitted window provided in the UV irradiation chamber, generating radical species of a cleaning gas outside the UV irradiation chamber; and (ii) introducing the radical species from the outside of the UV irradiation chamber into the UV irradiation chamber, thereby cleaning the optical transmitted window.
    Type: Grant
    Filed: September 19, 2007
    Date of Patent: September 7, 2010
    Assignee: ASM Japan K.K.
    Inventors: Kiyohiro Matsushita, Hideaki Fukuda, Kenichi Kagami
  • Publication number: 20100218785
    Abstract: Methods and apparatus for in-situ plasma cleaning of a deposition chamber are provided. In one embodiment a method for plasma cleaning a deposition chamber without breaking vacuum is provided. The method comprises positioning a substrate on a susceptor disposed in the chamber and circumscribed by an electrically floating deposition ring, depositing a metal film on the substrate and the deposition ring in the chamber, grounding the metal film deposited on the deposition ring without breaking vacuum, and removing contaminants from the chamber with a plasma formed in the chamber without resputtering the metal film on the grounded deposition ring and without breaking vacuum.
    Type: Application
    Filed: February 16, 2010
    Publication date: September 2, 2010
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Richard J. Green, Cheng-Hsiung Tsai, Shambhu N. Roy, Puneet Bajaj, David H. Loo
  • Publication number: 20100218786
    Abstract: Provided are a storage medium and a cleaning method of a plasma processing apparatus capable of more securely removing a deposit and preventing occurrence of any problems caused by a remaining deposit as compared to the conventional method. A cleaning gas which contains an oxygen gas and a nitrogen gas and has a ratio of “nitrogen gas flow rate/(nitrogen gas flow rate+oxygen gas flow rate)” in a range from about 0.05 to about 0.5 is introduced into a processing chamber when a substrate is not mounted on a mounting table, and, then, the inside of the processing chamber is cleaned by applying a high frequency power between the mounting table and an upper electrode and exciting the cleaning gas into plasma.
    Type: Application
    Filed: February 24, 2010
    Publication date: September 2, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Masahiro Ogasawara, Kenji Tago, Junichi Sasaki, Mafumi Sato
  • Publication number: 20100206847
    Abstract: A plasma chamber for activating a process gas, including at least four legs forming a toroidal plasma channel, each leg having a cross-sectional area, and an outlet formed on one leg, the outlet having a greater cross-sectional area than the cross-sectional area of the other legs. The plasma chamber further includes an inlet for receiving the process gas and a plenum for introducing the process gas over a broad area of the leg opposing the outlet to reduce localized high plasma impedance and gas flow instability, wherein the leg opposing the outlet defines a plurality of holes for providing a helical gas rotation in the plasma channel.
    Type: Application
    Filed: October 19, 2007
    Publication date: August 19, 2010
    Inventors: Xing Chen, Andrew Cowe
  • Publication number: 20100200016
    Abstract: In a method is provided for removing a material from a substrate, a plasma is generated at atmospheric pressure. The plasma includes an energetic species reactive with one or more components of the material. The plasma is flowed from an outlet as a plasma plume that includes periodic regions of high plasma density and low plasma density. The material is exposed to the plasma plume. At least one component of the material reacts with the energetic species, and at least one other component of the material is physically impacted and moved by one or more of the regions of high plasma density.
    Type: Application
    Filed: February 8, 2010
    Publication date: August 12, 2010
    Inventor: Peter Joseph Yancey
  • Patent number: 7771541
    Abstract: A method of removing and/or reducing undesirable contaminants removes residues including graphitic layers, fluorinate layers, calcium sulfate (CaSO4) particles, tin oxides and organotin, from a chip passivation layer surface. The method uses a plasma process with an argon and oxygen mixture with optimized plasma parameters to remove both the graphitic and fluorinated layers and to reduce the level of the inorganic/tin oxides/organotin residue from an integrated circuit wafer while keeping the re-deposition of metallic compounds is negligible. This invention discloses the plasma processes that organics are not re-deposited from polymers to solder ball surfaces and tin oxide thickness does not increase on solder balls. The ratio of argon/oxygen is from about 50% to about 99% Ar and about 1% to about 50% O2 by volume. Incoming wafers, after treatment, are then diced to form individual chips that are employed to produce flip chip plastic ball grid array packages.
    Type: Grant
    Filed: March 22, 2007
    Date of Patent: August 10, 2010
    Assignee: International Business Machines Corporation
    Inventors: Claude Blais, Eric Duchesne, Kang-Wook Lee, Sylvain Ouimet, Gerald J. Scilla
  • Publication number: 20100192973
    Abstract: An extreme ultraviolet light source apparatus that can eliminate debris adhering to a component such as optical elements provided within a chamber. The extreme ultraviolet light source apparatus includes: a chamber in which extreme ultraviolet light is generated; a target material supply unit for supplying a target material into the chamber; a driver laser unit for irradiating the target material with a driver pulse laser beam to generate plasma; a cleaning laser unit for emitting a cleaning pulse laser beam; and a control unit for controlling an irradiation position of the cleaning pulse laser beam emitted from the cleaning laser unit so as to irradiate a component provided within the chamber with the cleaning pulse laser beam to remove debris adhering to a surface of the component.
    Type: Application
    Filed: January 15, 2010
    Publication date: August 5, 2010
    Inventors: Yoshifumi Ueno, Osamu Wakabayashi
  • Patent number: 7767584
    Abstract: A method for providing substantially similar chamber condition before each wafer process operation in a semiconductor process chamber is provided. The method allows for prevention of transport of particle and metal contamination from chamber surfaces to the processed wafer. The method initiates with depositing a silicon containing layer over an inner surface of an empty semiconductor process chamber. Then, a wafer is introduced into the semiconductor process chamber after depositing the silicon containing layer. Next, a process operation is performed on the wafer. The process operation deposits a residue on the silicon containing layer. Next, an in-situ cleaning process is initiated upon completion of the processing operation and removal of the wafer.
    Type: Grant
    Filed: March 9, 2007
    Date of Patent: August 3, 2010
    Assignee: Lam Research Corporation
    Inventors: Harmeet Singh, Saurabh J. Ullal, Shibu Gangadharan
  • Publication number: 20100186768
    Abstract: A method for removing foreign matter attached to a photomask, includes: irradiating the foreign matter with an electron beam in an etching gas atmosphere in which the foreign matter or a bottom surface of the photomask is etched by irradiation with the electron beam; or irradiating the foreign matter with the electron beam in a deposition gas atmosphere in which a solid material is generated by irradiation with the electron beam to deposit the solid material on the foreign matter, and applying a force to the solid material with an AFM probe.
    Type: Application
    Filed: December 17, 2009
    Publication date: July 29, 2010
    Inventor: Shingo KANAMITSU
  • Publication number: 20100186663
    Abstract: Methods and apparatus for protecting a substrate support in a semiconductor process chamber are provided herein. In some embodiments, an apparatus for protecting a substrate support in a semiconductor process chamber includes a semiconductor substrate and a coating comprising yttrium disposed on an upper surface of the semiconductor substrate. The semiconductor substrate is configured to be removably placed on the substrate support. In some embodiments, the semiconductor substrate comprises silicon. In some embodiments, the coating comprises yttrium and oxygen. In some embodiments, the protective apparatus may be disposed atop a substrate support within a semiconductor process chamber. In some embodiments, the protective apparatus may protect the surface of the substrate support from damage during one or more chamber processes, such as marathon testing or chamber cleaning.
    Type: Application
    Filed: January 23, 2009
    Publication date: July 29, 2010
    Applicant: APPLIED MATERIALS, INC.
    Inventor: Jon Christian FARR
  • Patent number: 7763320
    Abstract: The purpose of the invention is to provide a film formation apparatus capable of forming an EL layer with a high purity and a high density, and a cleaning method. The invention is a formation of an EL layer with a high density by heating a substrate 10 by a heating means for heating a substrate, decreasing the pressure of a film formation chamber with a pressure decreasing means (a vacuum pump such as a turbo-molecular pump, a dry pump, or a cryopump) connected to the film formation chamber to 5×10?3 Torr (0.665 Pa) or lower, preferably 1×10?3 Torr (0.133 Pa) or lower, and carrying out film formation by depositing organic compound materials from deposition sources. In the film formation chamber, cleaning of deposition masks is carried out by plasma.
    Type: Grant
    Filed: September 21, 2007
    Date of Patent: July 27, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Masakazu Murakami
  • Publication number: 20100175713
    Abstract: A method of cleaning a plasma processing apparatus for processing a target in a process container, which is vacuum-evacuatable, using plasma, includes performing a first cleaning process by supplying a cleaning gas into the process container to generate plasma and maintaining the pressure in the process container at a first pressure, and performing a second cleaning process by supplying a cleaning gas into the process container to generate plasma and maintaining the pressure in the process container at a second pressure that is higher than the first pressure. Accordingly, the plasma processing apparatus can be efficiently and rapidly cleaned without damaging at least one of the group consisting of inner surfaces of the process container and members in the process container.
    Type: Application
    Filed: February 18, 2008
    Publication date: July 15, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Noriaki Fukiage, Shinji Komoto, Hiroyuki Takaba, Kiyotaka Ishibashi
  • Publication number: 20100170872
    Abstract: The plasma processing apparatus includes: a processing container including a metal; an electromagnetic wave source outputting an electromagnetic wave; a dielectric plate facing an inner wall of the processing container and transmitting the electromagnetic wave, which is output from the electromagnetic wave source, into the processing container; and a groove formed in an inner surface of the processing container and functioning as a propagation disturbing portion. If a low frequency microwave is supplied, the propagation of a conductor surface wave can be suppressed by the groove.
    Type: Application
    Filed: June 11, 2008
    Publication date: July 8, 2010
    Applicants: TOKYO ELECTRON LIMITED, TOHOKU UNIVERSITY
    Inventors: Masaki Hirayama, Tadahiro Ohmi
  • Patent number: 7744771
    Abstract: A method for removing a protective film from a surface of an article is provided. The protective film includes a primary protective layer (e.g., a diamond-like carbon layer) and a transition layer, the transition layer being formed directly upon the surface of the article and thereby facilitating an attachment/bond of the protective film to the article. The method includes the step of: disposing/placing the article having the protective film in a reaction chamber; bombarding the protective film (especially, the primary protective layer) with oxidative plasma beams along an edge portion of the protective film, the bombarding occurring until the transition layer in particular is exposed; and bombarding the transition layer with oxidative plasma beams to damage a configuration of the transition layer, thereby making it possible to remove the protective film.
    Type: Grant
    Filed: October 2, 2006
    Date of Patent: June 29, 2010
    Assignee: Hon Hai Precision Industry Co., Ltd.
    Inventors: Bor-Yuan Hsiao, Ching-Chou Chang
  • Patent number: 7744984
    Abstract: A method of treating a glass substrate for bonding. The method includes providing a glass substrate having a fritted portion of a ceramic frit material and a non-fritted portion. At least a portion of the fritted portion includes a bondable surface. The method further includes cleaning and activating the bondable surface for subsequent bonding. The cleaning and bonding steps are carried out by applying an air plasma to the bondable surface.
    Type: Grant
    Filed: June 28, 2006
    Date of Patent: June 29, 2010
    Assignee: Ford Global Technologies, LLC
    Inventors: Larry Haack, Ann Straccia, Joe Holubka
  • Publication number: 20100154821
    Abstract: A method for cleaning a component in a substrate processing apparatus including a processing chamber, foreign materials being attached to the component, at least a part of the component being exposed inside the processing chamber, and the substrate processing apparatus being adapted to load and unload a foreign material adsorbing member into and from the processing chamber. The method includes loading the foreign material adsorbing member into the processing chamber; generating a plasma nearer the component than the foreign material adsorbing member; extinguishing the plasma; and unloading the foreign material adsorbing member from the processing chamber, wherein the generation and the extinguishment of the plasma are repeated alternately and the foreign material adsorbing member has a positive potential at least during the extinguishment of the plasma.
    Type: Application
    Filed: December 16, 2009
    Publication date: June 24, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: TSUYOSHI MORIYA, AKITAKA SHIMIZU
  • Publication number: 20100147328
    Abstract: A foreign matter removal method that removes foreign matter attached to a surface of a substrate having been subjected to predetermined processing. An edge of a rotating substrate mounted on a mounting stage is irradiated with misalignment measurement laser light. The misalignment measurement laser light other than the laser light blocked by the edge of the substrate is received, and power thereof is detected. The amount of misalignment of the substrate is calculated based on the detected power of the misalignment measurement laser light and a detected rotation angle of the rotating substrate. The misalignment of the substrate is corrected for based on the calculated amount of misalignment. After that, foreign matter removal laser light is irradiated, and a process gas that is to react with the foreign matter is jetted to the edge of the substrate. Consequently, the foreign matter attached to the substrate is decomposed and removed.
    Type: Application
    Filed: December 14, 2009
    Publication date: June 17, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Takehiro SHINDOU
  • Patent number: 7737421
    Abstract: Provided is an electron beam exposure apparatus for forming a desired pattern on a sample mounted on a wafer stage by exposure with an electron beam generated form an electron gun. The electron beam exposure apparatus includes: supplying device of injecting a reducing gas into a column in which the electron gun and the wafer stage are housed; and control unit of performing control so that the injection of the reducing gas into the column is continued for a predetermined period of time. Organic contamination is combined with H generated from the reducing gas by irradiation of an electron beam, and then evaporates. Further included is supplying device of injecting an ozone gas into the column. The control unit may perform control so that the injection of the ozone gas into the column in addition to the injection of the reducing gas is continued for a predetermined period of time.
    Type: Grant
    Filed: March 17, 2008
    Date of Patent: June 15, 2010
    Assignee: Advantest Corp.
    Inventors: Hiroshi Yasuda, Yoshihisa Ooae
  • Publication number: 20100140221
    Abstract: A plasma etching apparatus includes an electrostatic chuck and an etching gas supply unit for supplying an etching gas to a processing space between a first and a second electrode to perform a dry etching process on the target object. The apparatus further includes a cleaning gas supply unit for supplying a cleaning gas to a processing space; a first high frequency power supply unit for supplying a first high frequency power to the first electrode; and a controller for controlling the first high frequency power supply unit such that a first period during which the first high frequency power has a first amplitude that generates the plasma and a second period during which the first high frequency power has a second amplitude that generates substantially no plasma are alternately repeated at a specific cycle when the plasma cleaning is performed in the processing chamber without the target object.
    Type: Application
    Filed: December 3, 2009
    Publication date: June 10, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Takamichi KIKUCHI