Plasma Cleaning Patents (Class 134/1.1)
  • Patent number: 8709163
    Abstract: Provided is a method of descaling a mask that quickly and effectively removes a material attached to the mask. The method includes: directing a laser beam onto a material attached to the mask; and removing the material attached to the mask.
    Type: Grant
    Filed: November 15, 2005
    Date of Patent: April 29, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Eui-Gyu Kim, Tae-Hyung Kim
  • Publication number: 20140102639
    Abstract: The invention relates to a device and a method for plasma treatment of hollow bodies. The invention is in particular suited for the protective plasma treatment of the inner surface of thermally sensitive hollow bodies such as plastic bottles. The plasma treatment according to the invention can, for example, consist of chemical activation, sterilization, cleaning or coating. One or more hollow bodies are put into a processing chamber for treatment. The processing chamber is in fluid connection with at least one plasma source. An exhaust device on the processing chamber generates a negative pressure in the processing chamber relative to the plasma source so that plasma can expand out of the plasma source into the processing chamber and hollow body.
    Type: Application
    Filed: December 23, 2013
    Publication date: April 17, 2014
    Applicant: Reinhausen Plasma GmbH
    Inventors: Stefan Nettesheim, Dariusz Korzec
  • Patent number: 8697578
    Abstract: A method for using a film formation apparatus for a semiconductor process to form a thin film on a target substrate while supplying a film formation reactive gas from a first nozzle inside a reaction chamber includes performing a cleaning process to remove a by-product film deposited inside the reaction chamber and the first nozzle, in a state where the reaction chamber does not accommodate the target substrate. The cleaning process includes, in order, an etching step of supplying a cleaning reactive gas for etching the by-product film into the reaction chamber, and activating the cleaning reactive gas, thereby etching the by-product film, and an exhaust step of stopping supply of the cleaning reactive gas and exhausting gas from inside the reaction chamber. The etching step is arranged to use conditions that cause the cleaning reactive gas supplied in the reaction chamber to flow into the first nozzle.
    Type: Grant
    Filed: October 8, 2008
    Date of Patent: April 15, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Nobutake Nodera, Jun Sato, Kazuya Yamamoto, Kazuhide Hasebe
  • Publication number: 20140087568
    Abstract: A method of cleaning an interior of a process chamber by supplying a cleaning gas into the process chamber after a process of forming a thin film on a substrate in the process chamber is performed, including alternately repeating changing a pressure in the process chamber from a first pressure range to a second pressure range, and changing the pressure in the process chamber from the second pressure range to the first pressure range. In this method, when the pressure in the process chamber is changed to the first pressure range, the pressure in the process chamber is changed to the first pressure range without being maintained at the second pressure range, and when the pressure in the process chamber is changed to the second pressure range, the pressure in the process chamber is changed to the second pressure range without being maintained at the first pressure range.
    Type: Application
    Filed: September 18, 2013
    Publication date: March 27, 2014
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Takaaki NODA, Masatoshi TAKADA
  • Publication number: 20140083453
    Abstract: A method for in situ cleaning of a Metal-Organic Chemical Vapor Deposition reaction chamber is provided in embodiments of the present invention. The method includes: introducing a first cleaning gas into the reaction chamber, converting the first cleaning gas into first plasma inside the reaction chamber to completely remove a carbonaceous organic substance inside the reaction chamber, wherein the first cleaning gas includes a first oxygen-containing gas; and introducing a second cleaning gas into the reaction chamber, and converting the second cleaning gas into second plasma inside the reaction chamber to completely remove a metallic oxide inside the reaction chamber, wherein the second cleaning gas includes a first halogen-containing gas.
    Type: Application
    Filed: September 19, 2013
    Publication date: March 27, 2014
    Applicant: Advanced Micro-Fabrication Equipment Inc, Shanghai
    Inventors: Gerald Zheyao Yin, Zhiyou Du, Shuang Meng, Yang Wang, Ying Zhang, Songlin Xu, Ban Zhu, Haruhisa Takiguchi
  • Publication number: 20140083976
    Abstract: A cluster beam generating method that generates a cluster beam includes steps of mixing a gas source material and a liquid source material in a mixer; supplying a cluster beam including clusters originating from the gas source material and clusters originating from the liquid source material that are mixed in the mixer from a nozzle; and adjusting a temperature of the nozzle using a temperature adjusting portion that adjusts a temperature of the nozzle, thereby controlling a ratio of the clusters originating from the gas source material and the clusters originating from the liquid source material in the cluster beam.
    Type: Application
    Filed: November 29, 2013
    Publication date: March 27, 2014
    Applicants: Tokyo Electron Limited, HYOGO PREFECTURE
    Inventors: Noriaki TOYODA, Isao YAMADA, Masaki NARUSHIMA
  • Publication number: 20140083452
    Abstract: The present invention provides a method for in situ cleaning of an MOCVD reaction chamber. The method includes: maintaining the internal pressure of the MOCVD reaction chamber in a predetermined pressure range, and keeping a plasma inside the MOCVD reaction chamber for a predetermined time period to completely remove deposits inside the MOCVD reaction chamber. The method for in situ cleaning of an MOCVD reaction chamber according to the embodiments of the present invention may remove relatively stable organic ligands or related polymers, resulting in a good cleaning effect for the removal of the deposits on the surfaces with a relatively low temperature inside the MOCVD reaction chamber.
    Type: Application
    Filed: September 19, 2013
    Publication date: March 27, 2014
    Applicant: Advanced Micro-Fabrication Equipment Inc, Shanghai
    Inventors: Gerald Zheyao Yin, Zhiyou Du, Shuang Meng, Yang Wang, Ying Zhang
  • Publication number: 20140083612
    Abstract: Provided is a baffle. The baffle has holes for distributing a process gas excited in a plasma state. A surface of the baffle is treated by using a surface treating material containing an aromatic compound.
    Type: Application
    Filed: August 9, 2013
    Publication date: March 27, 2014
    Applicant: PSK INC.
    Inventor: YoungYeon JI
  • Publication number: 20140083451
    Abstract: The present invention provides a method for in situ cleaning of an MOCVD reaction chamber. The method includes: introducing a first cleaning gas into the reaction chamber, and converting the first cleaning gas into a first plasma inside the reaction chamber, and maintaining the pressure inside the reaction chamber in a first predetermined pressure range for a first time period, to remove a carbonaceous organic substance inside the reaction chamber; introducing a second cleaning gas into the reaction chamber, and converting the second cleaning gas into second plasma inside the reaction chamber, and maintaining the pressure inside the reaction chamber in a second predetermined pressure range for a second time period, to remove metal and its compound inside the reaction chamber.
    Type: Application
    Filed: September 19, 2013
    Publication date: March 27, 2014
    Applicant: Advanced Micro-Fabrication Equipment Inc, Shanghai
    Inventors: Gerald Zheyao Yin, Zhiyou Du, Shuang Meng, Yang Wang, Ying Zhang
  • Publication number: 20140076353
    Abstract: A plasma ashing process for removing photoresist, polymers and/or residues from a substrate, the process includes placing the substrate including the photoresist, polymers, and/or residues into a reaction chamber; generating a plasma from a gas mixture comprising oxygen gas (02) and/or an oxygen containing gas; suppressing and/or reducing fast diffusing species in the plasma by adding an atomic oxygen scavenging gas to the gas mixture; and exposing the substrate to the plasma to selectively remove the photoresist, polymers, and/or residues from the substrate, wherein the plasma is substantially free from fast diffusing species.
    Type: Application
    Filed: November 18, 2013
    Publication date: March 20, 2014
    Applicant: Lam Research Corporation
    Inventors: Ivan L. Berry, Carlo Waldfried, Shijian Luo, Orlando Escorcia
  • Publication number: 20140076354
    Abstract: Residues are removed from a surface of a substrate processing component which has a polymer coating below the residues. In one version, the component surfaces are contacted with an organic solvent to remove the residues without damaging or removing the polymer coating. The residues can be process residues or adhesive residues. The cleaning process can be conducted as part of a refurbishment process. In another version, the residues are ablated by scanning a laser across the component surface. In yet another version, the residues are vaporized by scanning a plasma cutter across the surface of the component.
    Type: Application
    Filed: November 22, 2013
    Publication date: March 20, 2014
    Applicant: QUANTUM GLOBAL TECHNOLOGIES LLC
    Inventors: Brian T. West, Karl Brueckner, Shun Wu, Robert Haney
  • Patent number: 8673406
    Abstract: The invention relates to a method for the plasma treatment of glass surfaces, the metal component, in particular the alkali and/or alkaline-earth metal component in the superficial region of the substrate being reduced by a plasma treatment of a substrate.
    Type: Grant
    Filed: February 28, 2007
    Date of Patent: March 18, 2014
    Assignee: Schott AG
    Inventors: Matthias Bicker, Uwe Rothhaar, Robert Hormes, Bernd Hanser, Manfred Lohmeyer, Stefan Bauer
  • Publication number: 20140069459
    Abstract: Provided are methods and related apparatus for removing tungsten film from a station of a single-station or multi-station chamber and station component surfaces between tungsten deposition processes. In some embodiments, the methods can involve introducing an inert gas flow upstream of a gas inlet to a station and downstream of a remote plasma generator that provides activated cleaning species. In some embodiments, the methods can involve modulating inert gas flow during various stages of a cleaning process. In some embodiments, the methods can involve manipulating positions of a substrate carrier ring during various stages of the cleaning process. Also in some embodiments, the methods can involve differentially modulating the amounts of inert gas introduced to stations of a multi-station chamber. The methods can provide improved clean uniformity, reduced over-etch, and increased throughput due to shorter cleaning time.
    Type: Application
    Filed: October 17, 2012
    Publication date: March 13, 2014
    Inventors: Yan Guan, Abhishek Manohar, Raashina Humayun, Panya Wongsenakhum
  • Publication number: 20140060571
    Abstract: The molecular etcher carbonyl fluoride (COF2) or any of its variants, are provided for, according to the present invention, to increase the efficiency of etching and/or cleaning and/or removal of materials such as the unwanted film and/or deposits on the chamber walls and other components in a process chamber or substrate (collectively referred to herein as “materials”). The methods of the present invention involve igniting and sustaining a plasma, whether it is a remote or in-situ plasma, by stepwise addition of additives, such as but not limited to, a saturated, unsaturated or partially unsaturated perfluorocarbon compound (PFC) having the general formula (CyFz) and/or an oxide of carbon (COx) to a nitrogen trifluoride (NF3) plasma into a chemical deposition chamber (CVD) chamber, thereby generating COF2. The NF3 may be excited in a plasma inside the CVD chamber or in a remote plasma region upstream from the CVD chamber.
    Type: Application
    Filed: March 15, 2013
    Publication date: March 6, 2014
    Applicant: Matheson Tri-Gas, Inc.
    Inventors: Glenn Mitchell, Ramkumar Subramanian, Carrie L. Wyse, Robert Torres, JR.
  • Publication number: 20140053867
    Abstract: Improved methods and apparatuses for removing residue from the interior surfaces of the deposition reactor are provided. The methods involve increasing availability of cleaning reagent radicals inside the deposition chamber by generating cleaning reagent radicals in a remote plasma generator and then further delivering in-situ plasma energy while the cleaning reagent mixture is introduced into the deposition chamber. Certain embodiments involve a multi-stage process including a stage in which the cleaning reagent mixture is introduced at a high pressure (e.g., about 0.6 Torr or more) and a stage the cleaning reagent mixture is introduced at a low pressure (e.g., about 0.6 Torr or less).
    Type: Application
    Filed: October 30, 2013
    Publication date: February 27, 2014
    Applicant: Novellus Systems, Inc.
    Inventors: Zhiyuan Fang, Pramod Subramonium, Jon Henri, Keith Fox
  • Publication number: 20140053866
    Abstract: A cleaning method for a UV chamber involves providing a first cleaning gas, a second cleaning gas, and a purge gas to one or more openings in the chamber. The first cleaning gas may be an oxygen containing gas, such as ozone, to remove carbon residues. The second cleaning gas may be a remote plasma of NF3 and O2 to remove silicon residues. The UV chamber may have two UV transparent showerheads, which together with a UV window in the chamber lid, define a gas volume proximate the UV window and a distribution volume below the gas volume. A purge gas may be flowed through the gas volume while one or more of the cleaning gases is flowed into the distribution volume to prevent the cleaning gases from impinging on the UV transparent window.
    Type: Application
    Filed: August 19, 2013
    Publication date: February 27, 2014
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Sanjeev BALUJA, Alexandros T. DEMOS, Kelvin CHAN, Juan Carlos ROCHA-ALVAREZ, Scott A. HENDRICKSON, Abhijit KANGUDE, Inna TUREVSKY, Mahendra CHHABRA, Thomas NOWAK, Daping YAO, Bo XIE, Daemian RAJ
  • Patent number: 8657961
    Abstract: Embodiments of the invention generally provide methods for cleaning a UV processing chamber. In one embodiment, the method includes flowing an oxygen-containing gas through a plurality of passages formed in a UV transparent gas distribution showerhead and into a processing region located between the UV transparent gas distribution showerhead and a substrate support disposed within the thermal processing chamber, exposing the oxygen-containing gas to UV radiation under a pressure scheme comprising a low pressure stage and a high pressure stage to generate reactive oxygen radicals, and removing unwanted residues or deposition build-up from exposed surfaces of chamber components presented in the thermal processing chamber using the reactive oxygen radicals.
    Type: Grant
    Filed: April 4, 2013
    Date of Patent: February 25, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Bo Xie, Alexandros T. Demos, Scott A. Hendrickson, Sanjeev Baluja, Juan Carlos Rocha-Alvarez
  • Publication number: 20140048099
    Abstract: A system and method for an extreme ultraviolet light chamber comprising a collector mirror, a cooling system coupled to a backside of the collector mirror operative to cool a reflective surface of the collector mirror and a buffer gas source coupled to the extreme ultraviolet light chamber.
    Type: Application
    Filed: October 23, 2013
    Publication date: February 20, 2014
    Applicant: Cymer, LLC
    Inventors: William N. Partlo, Igor V. Fomenkov
  • Publication number: 20140041684
    Abstract: A system and method of improving the performance and extending the lifetime of an ion source is disclosed. The ion source includes an ion source chamber, a suppression electrode and a ground electrode. In the processing mode, the ion source chamber may be biased to a first positive voltage, while the suppression electrode is biased to a negative voltage to attract positive ions from within the chamber through an aperture and toward the workpiece. In the cleaning mode, the ion source chamber may be grounded, while the suppression electrode is biased using a power supply having a high current capability. The voltage applied to the suppression electrode creates a plasma between the suppression electrode and the ion source chamber, and between the suppression electrode and the ground electrode.
    Type: Application
    Filed: July 31, 2013
    Publication date: February 13, 2014
    Inventors: Peter F. Kurunczi, Neil J. Bassom, Wilhelm J. Platow
  • Patent number: 8642477
    Abstract: A method for clearing native oxide is described. A substrate is provided, including an exposed portion whereon a native oxide layer has been formed. A clearing process is performed to the substrate using nitrogen trifluoride (NF3) and ammonia (NH3) as a reactant gas, wherein the volumetric flow rate of NF3 is greater than that of NH3.
    Type: Grant
    Filed: May 30, 2008
    Date of Patent: February 4, 2014
    Assignee: United Microelectronics Corp.
    Inventors: Yen-Chu Chen, Teng-Chun Tsai, Chien-Chung Huang, Keng-Jen Liu
  • Publication number: 20140020708
    Abstract: Systems and methods for edge exclusion control are described. One of the systems includes a plasma chamber. The plasma processing chamber includes a lower electrode having a surface for supporting a substrate. The lower electrode is coupled with a radio frequency (RF) power supply. The plasma processing chamber further includes an upper electrode disposed over the lower electrode. The upper electrode is electrically grounded. The plasma processing chamber includes an upper dielectric ring surrounding the upper electrode. The upper dielectric ring is moved using a mechanism for setting a vertical position of the upper dielectric ring separate from a position of the upper electrode. The system further includes an upper electrode extension surrounding the upper dielectric ring. The upper electrode extension is electrically grounded. The system also includes a lower electrode extension surrounding the lower dielectric ring. The lower electrode extension is arranged opposite the upper electrode extension.
    Type: Application
    Filed: July 19, 2012
    Publication date: January 23, 2014
    Applicant: Lam Research Corporation
    Inventors: Keechan Kim, Yansung Kim
  • Publication number: 20140020709
    Abstract: A plasma etching apparatus includes an electrostatic chuck and an etching gas supply unit for supplying an etching gas to a processing space between a first and a second electrode to perform a dry etching process on the target object. The apparatus further includes a cleaning gas supply unit for supplying a cleaning gas to a processing space; a first high frequency power supply unit for supplying a first high frequency power to the first electrode; and a controller for controlling the first high frequency power supply unit such that a first period during which the first high frequency power has a first amplitude that generates the plasma and a second period during which the first high frequency power has a second amplitude that generates substantially no plasma are alternately repeated at a specific cycle when the plasma cleaning is performed in the processing chamber without the target object.
    Type: Application
    Filed: September 24, 2013
    Publication date: January 23, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Takamichi KIKUCHI
  • Patent number: 8632637
    Abstract: A plasma processing apparatus is disclosed for minimizing the non-uniformity of potential distribution around wafer circumference. The apparatus includes a focus ring formed of a dielectric, and a conductor or a semiconductor having RF applied thereto. A surface voltage of the focus ring is determined to be not less than a minimum voltage for preventing reaction products caused by wafer processing from depositing thereon. The surface height, surface voltage, material, and structure of the focus ring are optimized so that the height of an ion sheath formed on the focus ring surface is either equal or has a height difference within an appropriate tolerance range to the height of the ion sheath formed on the wafer surface.
    Type: Grant
    Filed: December 6, 2012
    Date of Patent: January 21, 2014
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Ryoji Nishio, Tadamitsu Kanekiyo, Yoshiyuki Oota, Tsuyoshi Matsumoto
  • Publication number: 20140007901
    Abstract: Methods and apparatus for more efficiently cleaning a substrate having a notch in a plasma processing chamber configured for bevel edge cleaning. A notched plasma exclusion ring an inner periphery and an outer periphery is provided. The notched plasma exclusion ring has a ring notch formed at its outer periphery. The notched plasma exclusion ring has a notch apex dimension that is at least as large as a notch apex dimension of the substrate notch and a notch opening dimension that is at least as large as a notch opening dimension of the substrate notch. Methods for obtaining misalignment data and for subsequently rotate substrates to more efficiently clean the substrate notch are also disclosed.
    Type: Application
    Filed: July 6, 2012
    Publication date: January 9, 2014
    Inventors: Jack Chen, Gregory Sexton, Yunsang Kim
  • Patent number: 8623148
    Abstract: Methods of cleaning a processing chamber with nitrogen trifluoride (NF3) are described. The methods involve a concurrent introduction of nitrogen trifluoride and a reactive diluent into the chamber. The NF3 may be excited in a plasma inside the chamber or in a remote plasma region upstream from the chamber. The reactive diluent may be introduced upstream or downstream of the remote plasma such that both NF3 and the reactive diluent (and any plasma-generated effluents) are present in the chamber during cleaning. The presence of the reactive diluent enhances the chamber-cleaning effectiveness of the NF3.
    Type: Grant
    Filed: September 9, 2010
    Date of Patent: January 7, 2014
    Assignee: Matheson Tri-Gas, Inc.
    Inventors: Glenn Mitchell, Robert Torres, Jr., Adam Seymour
  • Patent number: 8617417
    Abstract: Provided are a cleaning composition which is capable of inhibiting the metal of a semiconductor substrate from corrosion, and has an excellent removability of plasma etching residues and/or ashing residues on the semiconductor substrate, a method for producing a semiconductor device, and a cleaning method using the cleaning composition. The cleaning composition for removing plasma etching residues and/or ashing residues formed on a semiconductor substrate, and a preparation method and a cleaning method for a semiconductor device, using the cleaning composition, wherein the cleaning composition includes (Component a) water; (Component b) an amine compound; (Component c) hydroxylamine and/or a salt thereof; (Component d) a quaternary ammonium compound; (Component e) an organic acid; and (Component f) a water-soluble organic solvent; and has a pH of 6 to 9.
    Type: Grant
    Filed: May 13, 2011
    Date of Patent: December 31, 2013
    Assignee: FUJIFILM Corporation
    Inventors: Tadashi Inaba, Kazutaka Takahashi, Tomonori Takahashi, Atsushi Mizutani
  • Patent number: 8608901
    Abstract: In a substrate processing apparatus configured to perform a predetermined process on a target substrate accommodated in a process chamber, the process chamber is cleaned by alternately performing an operation of generating plasma of a gas containing oxygen within the process chamber, and an operation of generating plasma of a gas containing nitrogen within the process chamber.
    Type: Grant
    Filed: February 24, 2010
    Date of Patent: December 17, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Shuuichi Ishizuka, Masaru Sasaki, Tetsuro Takahashi, Koji Maekawa
  • Patent number: 8603252
    Abstract: A method and apparatus for cleaning residue from components of semiconductor processing systems used in the fabrication of microelectronic devices. To effectively remove residue, the components are contacted with a gas-phase reactive material for sufficient time and under sufficient conditions to at least partially remove the residue. When the residue and the material from which the components are constructed are different, the gas-phase reactive material is selectively reactive with the residue and minimally reactive with the materials from which the components of the ion implanter are constructed. When the residue and the material from which the components are constructed is the same, then the gas-phase reactive material may be reactive with both the residue and the component part.
    Type: Grant
    Filed: April 26, 2007
    Date of Patent: December 10, 2013
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Frank Dimeo, James Dietz, W. Karl Olander, Robert Kaim, Steven Bishop, Jeffrey W. Neuner, Jose Arno, Paul J. Marganski, Joseph D. Sweeney, David Eldridge, Sharad Yedave, Oleg Byl, Gregory T. Stauf
  • Publication number: 20130319459
    Abstract: Provided is a method for generating active species in a treatment liquid used in a surface treatment system, the surface treatment system comprising a processing chamber and a treatment liquid delivery system, the treatment liquid delivery system having a mixing zone and an active species generation zone. A substrate and a treatment liquid comprising one or more chemical solutions and/or one or more process gases are provided. Sonic energy is applied to the treatment liquid in a mixing zone and/or an active species generation zone using one or more sonic devices. One or more selected surface treatment operating variables are controlled to optimize generation of active species in the treatment liquid. The one or more selected surface treatment operating variables are adjusted in order to meet one or more surface treatment objectives.
    Type: Application
    Filed: October 1, 2012
    Publication date: December 5, 2013
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: IAN J BROWN
  • Publication number: 20130319460
    Abstract: A cleaning apparatus for cleaning articles is proposed. The cleaning apparatus comprises at least one cleaning chamber for receiving the articles. The cleaning apparatus comprises, in the cleaning chamber, at least one fluid source for subjecting the articles to the action of at least one cleaning fluid. The cleaning apparatus furthermore comprises at least one plasma source, which is designed to ignite at least one plasma in at least one gas and to generate at least one reactive gas. The cleaning apparatus is designed to bring the reactive gas into contact with the articles, at least in part.
    Type: Application
    Filed: August 6, 2013
    Publication date: December 5, 2013
    Inventors: Vera Schneider, Thomas Näger, Thomas Peukert, Hans-Josef Rauber, Ingo Wiegand
  • Publication number: 20130320274
    Abstract: Methods of processing particulate carbon material, such as graphic particles or agglomerates of carbon nanoparticles such as CNTs are provided. The starting material is agitated in a treatment vessel in the presence of low-pressure (glow) plasma generated between electrodes. The material is agitated in the presence of conductive contact bodies such as metal balls, on the surface of which plasma glow is present and amongst which the material to be treated moves. The methods effectively deagglomerate nanoparticles, and exfoliate graphitic material to produce very thin graphitic sheets showing graphene-type characteristics. The resulting nanomaterials used by dispersal in composite materials, e.g. conductive polymeric composites for electric or electronic articles and devices. The particle surfaces can be functionalized by choosing appropriate gas in which to form the plasma.
    Type: Application
    Filed: December 8, 2011
    Publication date: December 5, 2013
    Applicant: innovative carbon limited
    Inventors: Ian Walters, Martin Williams
  • Patent number: 8591661
    Abstract: Improved methods for stripping photoresist and removing etch-related residues from dielectric materials are provided. In one aspect of the invention, methods involve removing material from a dielectric layer using a hydrogen-based etch process employing a weak oxidizing agent and fluorine-containing compound. Substrate temperature is maintained at a level of about 160° C. or less, e.g., less than about 90° C.
    Type: Grant
    Filed: December 11, 2009
    Date of Patent: November 26, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: David Cheung, Ted Li, Anirban Guha, Kirk Ostrowski
  • Patent number: 8591659
    Abstract: Improved methods and apparatuses for removing residue from the interior surfaces of the deposition reactor are provided. The methods involve increasing availability of cleaning reagent radicals inside the deposition chamber by generating cleaning reagent radicals in a remote plasma generator and then further delivering in-situ plasma energy while the cleaning reagent mixture is introduced into the deposition chamber. Certain embodiments involve a multi-stage process including a stage in which the cleaning reagent mixture is introduced at a high pressure (e.g., about 0.6 Torr or more) and a stage the cleaning reagent mixture is introduced at a low pressure (e.g., about 0.6 Torr or less).
    Type: Grant
    Filed: January 16, 2009
    Date of Patent: November 26, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: Zhiyuan Fang, Pramod Subramonium, Jon Henri, Keith Fox
  • Patent number: 8591660
    Abstract: The invention relates to a method of cleaning the surface of a material that is coated with an organic substance. The inventive method is characterized in that it comprises the following steps, consisting in: introducing the material into a treatment chamber, having a pressure of between 10 mbar and 1 bar therein, which is supplied with a gas stream containing at least 90 volume percent of oxygen; and generating a plasma by passing an electric discharge between the surface of the material and a dielectric-covered electrode in order to break down the organic substance under the action of the free radicals O thus produces. The invention also relates to an installation that is used to carry out said method.
    Type: Grant
    Filed: December 4, 2009
    Date of Patent: November 26, 2013
    Assignee: Usinor
    Inventors: Eric Silberberg, Eric Michel, Francois Reniers, Claudine Buess-Herman
  • Patent number: 8591752
    Abstract: A method for plasma-etching a magnetic film and plasma-cleaning, in which deposits in an etching processing chamber are efficiently removed while corrosion of a wafer is suppressed, is provided. A plasma processing method for plasma-etching a to-be-processed substrate having a magnetic film in an etching processing chamber includes the steps of plasma-etching the magnetic film using a first gas not containing chlorine, transferring out the to-be-processed substrate from the etching processing chamber, first plasma-cleaning of the etching processing chamber using a second gas containing chlorine, and second plasma-cleaning using a third gas containing hydrogen after the first plasma cleaning.
    Type: Grant
    Filed: February 17, 2012
    Date of Patent: November 26, 2013
    Assignee: Hitachi High Technologies Corporation
    Inventors: Takahiro Abe, Takeshi Shimada, Atsushi Yoshida, Kentaro Yamada, Daisuke Fujita
  • Publication number: 20130306101
    Abstract: A substrate dry cleaning apparatus, a substrate dry cleaning system, and a method of cleaning a substrate are disclosed. The substrate dry cleaning system includes a substrate support and a reactive species generator. The reactive species generator includes a first conduit defining a first flow channel that extends to an outlet of the first conduit, the Gullet of the first conduit facing the substrate support, a first electrode, a second electrode facing the first electrode, the first flow channel disposed between the first electrode and the second electrode, a first inert wall disposed between the first electrode and the first flow channel, and a second inert wall disposed between the second electrode and the first flow channel.
    Type: Application
    Filed: May 20, 2013
    Publication date: November 21, 2013
    Inventors: Gordon Scott Swanson, Ivin Varghese, Mehdi Balooch
  • Patent number: 8574448
    Abstract: A plasma generation method in a toroidal plasma generator that includes a gas passage having a gas entrance and a gas outlet and forming a circuitous path and a coil wound around a part of the gas passage includes the steps of supplying a mixed gas of an Ar gas and an NF3 gas containing at least 5% of NF3 and igniting plasma by driving the coil with a high-frequency power, wherein the plasma ignition step is conducted under a total pressure of 6.65-66.5 Pa.
    Type: Grant
    Filed: April 1, 2010
    Date of Patent: November 5, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Hiroshi Kannan, Noboru Tamura, Kazuya Dobashi
  • Patent number: 8574369
    Abstract: A method for removing a resist on a substrate includes supplying unsaturated hydrocarbon gas or fluorine substitution product gas of unsaturated hydrocarbon, at a lower pressure than an atmospheric pressure, to a system of reaction capable of heating the substrate and supplying ozone gas at a lower pressure than the atmospheric pressure to the system of reaction. The ozone gas is an ultra-high concentration ozone gas obtained by separating only ozone from ozone-containing gas by a difference of vapor pressure through liquefaction separation and by vaporizing a liquefaction-separated ozone again. The substrate may be cleaned with pure water. A susceptor that holds the substrate is provided in a chamber of the system of reaction and is heated by a light source that emits infrared light. An internal pressure of the chamber is controlled so that a temperature of the substrate is 90° C. or less.
    Type: Grant
    Filed: November 20, 2008
    Date of Patent: November 5, 2013
    Assignee: Meidensha Corporation
    Inventor: Toshinori Miura
  • Publication number: 20130284204
    Abstract: Embodiments of the invention generally provide methods for cleaning a UV processing chamber. In one embodiment, the method includes flowing an oxygen-containing gas through a plurality of passages formed in a UV transparent gas distribution showerhead and into a processing region located between the UV transparent gas distribution showerhead and a substrate support disposed within the thermal processing chamber, exposing the oxygen-containing gas to UV radiation under a pressure scheme comprising a low pressure stage and a high pressure stage to generate reactive oxygen radicals, and removing unwanted residues or deposition build-up from exposed surfaces of chamber components presented in the thermal processing chamber using the reactive oxygen radicals.
    Type: Application
    Filed: April 4, 2013
    Publication date: October 31, 2013
    Inventors: BO XIE, Alexandros T. Demos, Scott A. Hendrickson, Sanjeev Baluja, Juan Carlos Rocha-Alvarez
  • Publication number: 20130284203
    Abstract: An integrated apparatus and method comprises a plasma gun with a water supply, treatment fluid supply, and controls, the combination of which is adapted for directing a plume onto a surface of a three-dimensional part to treat the surface; and for controlling injection of water into the plume with the plume directed onto an adjacent surface to clean debris and undesired material from the adjacent surface; and for subsequently directing the plume (without water) onto the adjacent surface to treat the adjacent surface. The apparatus and method are particularly useful in suspension plasma spray systems, but are not believed to be limited to that.
    Type: Application
    Filed: March 14, 2013
    Publication date: October 31, 2013
    Applicant: PROGRESSIVE SURFACE, INC.
    Inventor: Kent VanEvery
  • Publication number: 20130276820
    Abstract: Methods and apparatus for the cleaning PECVD chambers that utilize molecular fluorine as the cleaning material.
    Type: Application
    Filed: August 10, 2011
    Publication date: October 24, 2013
    Inventors: Jean-Charles Cigal, Ying-Siang Hwang, Paul Alan Stockman, Richard Hogle, Stefan Petri
  • Patent number: 8562751
    Abstract: A dry cleaning method of a substrate processing apparatus includes forming a metal oxide by oxidizing a metal film adhered to the inside of a processing chamber of the substrate processing apparatus; forming a complex by reacting the metal oxide with ?-diketone; and sublimating the complex to be removed. A cleaning gas containing oxygen and ?-diketone is supplied into the processing chamber while heating the inside of the processing chamber. A flow rate ratio of oxygen to ?-diketone in the cleaning gas is set such that a formation rate of the metal oxide is lower than a formation rate of the complex.
    Type: Grant
    Filed: January 17, 2012
    Date of Patent: October 22, 2013
    Assignees: Tokyo Electron Limited, Central Glass Company, Limited
    Inventors: Isao Gunji, Yusaku Izawa, Hitoshi Itoh, Tomonori Umezaki, Yuta Takeda, Isamu Mori
  • Publication number: 20130267098
    Abstract: A plasma processing apparatus is offered which has evacuable vacuum vessel, processing chamber disposed inside the vacuum vessel and having inside space in which plasma for processing sample to be processed is generated and in which the sample is placed, unit for supplying gas for plasma generation into processing chamber, vacuum evacuation unit for evacuating inside of processing chamber, helical resonator configured of helical resonance coil disposed outside the vacuum vessel and electrically grounded shield disposed outside the coil, RF power supply of variable frequency for supplying RF electric power in given range to the resonance coil, and frequency matching device capable of adjusting frequency of the RF power supply so as to minimize reflected RF power. The resonance coil has electrical length that is set to integral multiple of one wavelength at given frequency. The helical resonance coil has feeding point connected to ground potential using variable capacitive device.
    Type: Application
    Filed: April 17, 2013
    Publication date: October 10, 2013
    Inventors: Kenji MAEDA, Ken YOSHIOKA, Hiromichi KAWASAKI, Takahiro SHIMOMURA
  • Publication number: 20130256269
    Abstract: The described embodiments relate generally to the manufacturing of consumer electronics and computing devices, and more particularly to providing mechanisms that modify the surface energy of a substrate to facilitate the forming of a bond between disparate materials. In one embodiment, the surface energy of a polyester substrate can be enhanced by exposing a surface of the polyester substrate to a plasma formed from approximately 90% atmospheric air, 5% carbon dioxide, and 5% argon. In another embodiment, contaminants can be removed from the surface of the polyester substrate and the surface energy of the substrate can be increased by exposing the polyester substrate first to an argon plasma etching process and second to a plasma formed from approximately 95% atmospheric air and 5% carbon dioxide.
    Type: Application
    Filed: September 28, 2012
    Publication date: October 3, 2013
    Applicant: Apple Inc.
    Inventor: Michael M. NIKKHOO
  • Publication number: 20130255717
    Abstract: A system and method to clean surfaces and components of mask and wafer inspection systems based on the positive column of a glow discharge plasma are disclosed. The surface may be the surface of an optical component in a vacuum chamber or an interior wall of the vacuum chamber. A cathode and an anode may be used to generate the glow discharge plasma. The negative glow associated with the cathode may be isolated and the positive column associated with the anode may be used to clean the optical component or the interior wall of the vacuum chamber. As such, an in situ cleaning process, where the cleaning is done within the vacuum chamber, may be performed.
    Type: Application
    Filed: March 28, 2013
    Publication date: October 3, 2013
    Applicant: KLA-Tencor Corporation
    Inventors: Garry Rose, Gildardo Delgado
  • Patent number: 8536550
    Abstract: A method for cleaning collector mirrors in an EUV light generator in which a target is made into a plasma state and EUV light generated is collected by a collector mirror, the method being adopted to the EUV light generator for cleaning contaminants adhering thereto, the method comprising: preparing at least two collector mirrors; locating one of the mirrors at an EUV light condensing position while locating the other mirror at a cleaning position; determining whether the mirror at the cleaning position is cleaned while determining whether the mirror at the light condensing position requires cleaning; and once determined that the mirror at the cleaning position is cleaned and the mirror at the light condensing position requires cleaning, conveying the mirror at the light condensing position and requiring cleaning to the cleaning position while conveying the mirror at the cleaning position and having been cleaned to the light condensing position.
    Type: Grant
    Filed: June 4, 2009
    Date of Patent: September 17, 2013
    Assignee: Gigaphoton Inc.
    Inventors: Takeshi Asayama, Hiroshi Someya, Masato Moriya, Hideo Hoshino, Tamotsu Abe
  • Patent number: 8536060
    Abstract: A method for clearing native oxide is described. A substrate is provided, including an exposed portion whereon a native oxide layer has been formed. A clearing process is performed to the substrate using nitrogen trifluoride (NF3) and ammonia (NH3) as a reactant gas, wherein the volumetric flow rate of NF3 is greater than that of NH3.
    Type: Grant
    Filed: May 10, 2012
    Date of Patent: September 17, 2013
    Assignee: United Microelectronics Corp.
    Inventors: Yen-Chu Chen, Teng-Chun Tsai, Chien-Chung Huang, Keng-Jen Liu
  • Patent number: 8528224
    Abstract: Systems and methods for processing a substrate include supplying steam in a chamber, arranging a substrate with a deposited layer that includes silicon in the chamber, and directing UV light onto the deposited layer in the presence of the steam for a predetermined conversion period to at least partially convert the deposited layer. Systems and methods for densifying a deposited layer of a substrate include supplying ammonia in a chamber, arranging the substrate that includes the deposited layer in the chamber, and directing UV light onto the deposited layer in the presence of the ammonia for a predetermined conversion period to at least partially densify the deposited layer.
    Type: Grant
    Filed: August 11, 2010
    Date of Patent: September 10, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: Bhadri N. Varadarajan, Bart Van Schravendijk
  • Patent number: 8530870
    Abstract: An extreme ultraviolet light source apparatus in which a target material is irradiated with a laser beam and turned into plasma and extreme ultraviolet light is emitted from the plasma may include: a chamber in which the extreme ultraviolet light is generated; an electromagnetic field generation unit for generating at least one of an electric field and a magnetic field inside the chamber; and a cleaning unit for charging and separating debris adhered to an optical element inside the chamber.
    Type: Grant
    Filed: November 10, 2011
    Date of Patent: September 10, 2013
    Assignee: Gigaphoton Inc.
    Inventors: Kouji Kakizaki, Shinji Nagai, Tatsuya Yanagida
  • Patent number: 8518209
    Abstract: An apparatus for determining an endpoint of a process by measuring a thickness of a layer is provided. The layer is disposed on the surface by a prior process. The apparatus includes means for providing a sensor that is coplanar with the surface, wherein the sensor is configured to measure the thickness. The apparatus also includes means for exposing the plasma chamber to a plasma, wherein the thickness is changed by the exposing, and means for determining the thickness as a function of time. The apparatus further includes means for ascertaining a steady state condition in the thickness, the steady state condition being characterized by a substantially stable measurement of the thickness, a start of the steady state condition representing the endpoint.
    Type: Grant
    Filed: July 17, 2009
    Date of Patent: August 27, 2013
    Assignee: Lam Research Corporation
    Inventors: Eric Hudson, Douglas Keil, Alexei Marakhtanov