Plasma Cleaning Patents (Class 134/1.1)
  • Patent number: 8500912
    Abstract: Provided is a plasma processing method capable of removing a Ti-series deposit from the surface of a processing chamber of a plasma processing apparatus without production of a foreign matter such as a boron oxide. The plasma processing method includes carbon-series deposition discharge which succeeds product etching during which a sample containing a Ti material is processed, and during which a carbon-series film is deposited on a Ti reaction by-product deposited on the surface of the processing chamber, and chlorine-series discharge which succeeds the carbon-series deposition discharge and during which the carbon-series film and Ti that are deposited on the surface of the processing chamber are removed.
    Type: Grant
    Filed: January 19, 2011
    Date of Patent: August 6, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventor: Kousa Hirota
  • Patent number: 8496756
    Abstract: Methods for processing substrates in twin chamber processing systems having first and second process chambers and shared processing resources are provided herein. In some embodiments, a method may include flowing a process gas from a shared gas panel to a processing volume of the first process chamber and to a processing volume of the second process chamber; forming a first plasma in the first processing volume to process the first substrate and a second plasma to process the second substrate; monitoring the first processing volume and the second processing volume to determine if a process endpoint is reached in either volume; and either terminating the first and second plasma simultaneously when a first endpoint is reached; or terminating the first plasma when a first endpoint is reached in the first processing volume while continuing to provide the second plasma in the second processing volume until a second endpoint is reached.
    Type: Grant
    Filed: October 29, 2010
    Date of Patent: July 30, 2013
    Assignee: Applied Materials, Inc.
    Inventors: James P. Cruse, Dermot Cantwell, Ming Xu, Charles Hardy, Benjamin Schwarz, Kenneth S. Collins, Andrew Nguyen, Zhifeng Sui, Evans Lee
  • Publication number: 20130186431
    Abstract: Some embodiments include utilization of both plasma and aerosol to treat substrate surfaces. The plasma and aerosol may be utilized simultaneously, or sequentially. In some embodiments, the plasma forms a plasma sheath over the substrate surfaces, with the plasma sheath having an electric field gradient therein. The aerosol comprises liquid particles charged to a polarity, and such polarity is transferred to contaminants on the substrate surfaces through interaction with the aerosol. The polarity may be used to assist in dislodging the contaminants from the substrate surfaces. The electric field of the plasma sheath may then sweep the contaminants away from the substrate surfaces. In some embodiments, multiple different aerosols are formed to remove multiple different types of materials from substrate surfaces. Some embodiments include apparatuses configured for treating substrate surfaces with both plasma and aerosol.
    Type: Application
    Filed: March 11, 2013
    Publication date: July 25, 2013
    Applicant: Micron Technology, Inc.
    Inventor: Micron Technology, Inc.
  • Publication number: 20130186430
    Abstract: The invention is directed to a method for at least partially removing a contamination layer (15) from an optical surface (14a) of an EUV-reflective optical element (14) by bringing a cleaning gas into contact with the contamination layer. In the method, a jet (20) of cleaning gas is directed to the contamination layer (15) for removing material from the contamination layer (15). The contamination layer (15) is monitored for generating a signal indicative of the thickness of the contamination layer (15) and the jet (20) of cleaning gas is controlled by moving the jet (20) of cleaning gas relative to the optical surface (14a) using this signal as a feedback signal. A cleaning arrangement (19 to 24) for carrying out the method is also disclosed. The invention also relates to a method for generating a jet (20) of cleaning gas and to a corresponding cleaning gas generation arrangement.
    Type: Application
    Filed: March 7, 2013
    Publication date: July 25, 2013
    Applicants: ASML NETHERLANDS B.V., CARL ZEISS SMT GMBH
    Inventors: Carl Zeiss SMT GmbH, ASML Netherlands B.V.
  • Patent number: 8486198
    Abstract: This invention relates to a method of processing substrates including: (a) etching, in a chamber, a generally vertical structure in a substrate using a cyclic process including an etch step using a reactive etch gas and a deposition step for depositing a protective polymer on to the side walls of that part of the structure which has already been etched by a preceding etch step or steps; and (b) cleaning, in the absence of any substrate, the chamber of material deposited thereon by the performance of the deposition step in step (a) characterized in that following the cleaning of the deposition derived material, the chamber is cleaned of material derived from the etchant gas by exposing the chamber to a plasma containing a mixture of O2 and at least the active element of elements of the etchant gas.
    Type: Grant
    Filed: July 12, 2006
    Date of Patent: July 16, 2013
    Assignee: Aviza Technology Limited
    Inventors: Nicholas John Appleyard, Kevin Powell
  • Patent number: 8486291
    Abstract: In the present invention, provided is a plasma processing method which reduces or eliminates the emission of contaminating matters caused by a quality-altered layer on the surface of yttria of a processing chamber's inner wall and parts inside the processing chamber. It is the plasma processing method including an etching step of setting a sample inside the processing chamber, and etching the sample, a deposition-product removing step of removing a deposition product by using a plasma, the deposition product being deposited inside the processing chamber by the etching step, the plasma being generated using a gas which contains fluorine or chlorine, and a step of exposing, to a rare-gas-based plasma, the inside of the processing chamber after the deposition-product removing step.
    Type: Grant
    Filed: January 21, 2011
    Date of Patent: July 16, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Takeshi Ohmori, Yasuhiro Nishimori, Hiroaki Ishimura, Hitoshi Kobayashi, Masamichi Sakaguchi
  • Publication number: 20130178004
    Abstract: A full-color light-emitting device is achieved with plural kinds of light-emitting elements in each of which a stacked layer of a first material layer formed selectively with a droplet discharge apparatus and a second material layer formed by vapor-deposition method using the conductive-surface plate on which a layer containing an organic compound is formed is provided between a pair of electrodes. The first material layer is a layer in which an organic compound and a metal oxide which is an inorganic compound are mixed. By adjusting the thickness of the first material layer of each light-emitting element, which is different depending on an emission color, a blue light emission component, a green light emission component, or a red light emission component among a plurality of components for white light emission can be selectively emphasized and taken out by light interference phenomenon.
    Type: Application
    Filed: March 1, 2013
    Publication date: July 11, 2013
    Applicant: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Semiconductor Energy Laboratory Co., Ltd.
  • Patent number: 8481434
    Abstract: To remove the deposit including a high dielectric constant film deposited on an inside of a processing chamber, by using a cleaning gas activated only by heat. The method includes the steps of: loading a substrate or a plurality of substrates into the processing chamber; performing processing to deposit the high dielectric constant film on the substrate by supplying processing gas into the processing chamber; unloading the processed substrate from the inside of the processing chamber; and cleaning the inside of the processing chamber by supplying a halide gas and an oxygen based gas into the processing chamber, and removing the deposit including the high dielectric constant film deposited on the inside of the processing chamber, and in the step of cleaning the inside of the processing chamber, the concentration of the oxygen based gas in the halide gas and the oxygen based gas is set to be less than 7%.
    Type: Grant
    Filed: July 8, 2008
    Date of Patent: July 9, 2013
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Hironobu Miya, Eisuke Nishitani, Yuji Takebayashi, Masanori Sakai, Hirohisa Yamazaki, Toshinori Shibata, Minoru Inoue
  • Patent number: 8480807
    Abstract: The invention relates to a method of cleaning and/or sterilization of an object provided in a hermetically sealed enclosure, providing a pressure difference between an internal volume of the enclosure and surroundings and generating a plasma solely inside the enclosure for said cleaning and/or sterilization of the object. The invention further relates to an apparatus for enabling the same. The apparatus 10 comprises a vacuum chamber 1, which can be evacuated using a vacuum pump 2, and a source 3 arranged to generate plasma of a suitable gas in an enclosure 8, which is substantially hermetically closed with respect to the atmosphere of the vacuum chamber. The enclosure 8 may be of a flexible type or may be manufactured from a rigid material. In case when the enclosure is rigid the pressure inside the enclosure may be lower than an outside pressure.
    Type: Grant
    Filed: October 16, 2009
    Date of Patent: July 9, 2013
    Assignee: Nederlandse Organisatie voor toegepast-natuurwetenschappelijk onderziek TNO
    Inventors: Norbertus Benedictus Koster, René Koops, Kemal Agovic, Fokko Pieter Wieringa
  • Publication number: 20130160794
    Abstract: Methods and apparatus for cleaning substrate surfaces are provided herein. In some embodiments, a method of cleaning a surface of a substrate may include providing a hydrogen containing gas to a first chamber having a plurality of filaments disposed therein; flowing a current through the plurality of filaments to raise a temperature of the plurality of filaments to a process temperature sufficient to decompose at least some of the hydrogen containing gas; and cleaning the surface of the substrate by exposing the substrate to hydrogen atoms formed from the decomposed hydrogen containing gas for a period of time.
    Type: Application
    Filed: December 21, 2012
    Publication date: June 27, 2013
    Applicant: APPLIED MATERIALS, INC.
    Inventor: Applied Materials, Inc.
  • Publication number: 20130160793
    Abstract: An apparatus configured to provide simultaneous plasma and electromagnetic irradiation of a workpiece within the same process chamber, thereby providing processes that permit simultaneous plasma and electromagnetic irradiation within the same atmosphere as may be desired for some applications.
    Type: Application
    Filed: December 22, 2011
    Publication date: June 27, 2013
    Applicant: AXCELIS TECHNOLOGIES, INC.
    Inventors: Aseem K. Srivastava, Ivan Berry
  • Patent number: 8470095
    Abstract: A process for surface preparation of a substrate (2), which comprises introducing or running a substrate (2) into a reaction chamber (6, 106). A dielectric barrier (14, 114) is placed between electrodes (1, 10, 110). A high-frequency electrical voltage is generated, to generate filamentary plasma (12, 112). Molecules (8, 108) are introduced into the reaction chamber (6, 106). Upon contact with the plasma, they generate active species typical of reacting with the surface of the substrate. An adjustable inductor (L) placed in parallel with the inductor of the installation is employed to reduce the phase shift between the voltage and the current generated and to increase the time during which the current flows in the plasma (12, 112).
    Type: Grant
    Filed: July 16, 2009
    Date of Patent: June 25, 2013
    Assignee: AGC Glass Europe
    Inventors: Eric Tixhon, Joseph Leclercq, Eric Michel
  • Patent number: 8471227
    Abstract: An extreme ultraviolet light source apparatus in which a target material is irradiated with a laser beam and turned into plasma and extreme ultraviolet light is emitted from the plasma may include: a chamber in which the extreme ultraviolet light is generated; an electromagnetic field generation unit for generating at least one of an electric field and a magnetic field inside the chamber; and a cleaning unit for charging and separating debris adhered to an optical element inside the chamber.
    Type: Grant
    Filed: November 10, 2011
    Date of Patent: June 25, 2013
    Assignee: Gigaphoton Inc.
    Inventors: Kouji Kakizaki, Shinji Nagai, Tatsuya Yanagida
  • Publication number: 20130152518
    Abstract: A method for removing matter from a filter is disclosed. The method may include measuring loading conditions of a filter media. The method may also include applying at least one voltage pulse between an electrode external to the filter media and a housing of the filter media to induce a plurality of shock waves directed toward the filter media, based on the loading conditions.
    Type: Application
    Filed: December 20, 2011
    Publication date: June 20, 2013
    Inventor: Sergey KORENEV
  • Publication number: 20130153536
    Abstract: Methods and apparatuses for combinatorial processing using a remote plasma source are disclosed. The apparatus includes a remote plasma source and an inner chamber enclosing a substrate support. An aperture is operable to provide plasma exposure to a site-isolated region on a substrate. A transport system moves the substrate support and is capable of positioning the substrate such that the site-isolated region can be located anywhere on the substrate. Barriers and a gas purge system operate to provide site-isolation. Plasma exposure parameters can be varied in a combinatorial manner. Such parameters include source gases for the plasma generator, plasma filtering parameters, exposure time, gas flow rate, frequency, plasma generator power, plasma generation method, chamber pressure, substrate temperature, distance between plasma source and substrate, substrate bias voltage, or combinations thereof.
    Type: Application
    Filed: December 16, 2011
    Publication date: June 20, 2013
    Applicant: Intermolecular, Inc.
    Inventors: ShouQian Shao, Kent Riley Child, Danny Wang
  • Patent number: 8454758
    Abstract: An electrostatic chuck cleaning process that cleans an electrostatic chuck, equipped in a chamber, for chucking and holding a substrate. This method has a plasma etching process that performs plasma etching on the electrostatic chuck, a substrate mounting process that mounts a substrate on the electrostatic chuck that was subjected to plasma etching in the plasma etching process, and a substrate removal process that removes the substrate that was mounted on the electrostatic chuck in the substrate mounting process.
    Type: Grant
    Filed: January 13, 2006
    Date of Patent: June 4, 2013
    Assignee: Applied Materials, Inc.
    Inventor: Fuminori Akiba
  • Patent number: 8454850
    Abstract: Described herein are a method and an apparatus for removing metal oxides and/or forming solder joints on at least a portion of a substrate surface within a target area. In one particular embodiment, the method and apparatus form a solder joint within a substrate comprising a layer having a plurality of solder bumps by providing one or more energizing electrodes and exposing at least a portion of the layer and solder bumps to the energizing electrode.
    Type: Grant
    Filed: August 16, 2010
    Date of Patent: June 4, 2013
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Chun Christine Dong, Ranajit Ghosh, Gregory Khosrov Arslanian
  • Publication number: 20130133690
    Abstract: In an ion bombardment apparatus of the present invention, a heating type thermal electron emission electrode formed by a filament is placed on one inner surface of a vacuum chamber, an anode for receiving a thermal electron from the thermal electron emission electrode is placed on another inner surface of the vacuum chamber, and a base material is placed between the thermal electron emission electrode and the anode. Further, the ion bombardment apparatus has a discharge power supply for generating a glow discharge upon application of a potential difference between the thermal electron emission electrode and the anode, a heating power supply for heating the thermal electron emission electrode so as to emit the thermal electron, and a bias power supply for applying negative pulse-shaped bias potential with respect to the vacuum chamber to the base material.
    Type: Application
    Filed: November 1, 2012
    Publication date: May 30, 2013
    Applicant: Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.)
    Inventor: Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.)
  • Publication number: 20130104742
    Abstract: An apparatus comprises a dispensing system and a sanitizing system. The apparatus has a dispensing mode and sanitizing mode. The dispensing system may comprise a first valve and at least one component, the at least one component having an inner surface. The first valve is opened to send a free-flowing material to the at least one component when the apparatus is in the dispensing mode. The first valve is closed when the apparatus is in the sanitizing mode. The sanitizing system comprises a processing unit having a discharge cell configured to initiate a cold plasma discharge in an air flow. A tank may be configured to receive the air flow from the discharge cell of the processing unit and expose water in the tank to the air flow for a time sufficient to provide dissolution of ozone from the air flow into the water and form ozone-containing water.
    Type: Application
    Filed: October 31, 2012
    Publication date: May 2, 2013
    Applicant: PEPSICO., INC.
    Inventor: PEPSICO., INC.
  • Publication number: 20130098390
    Abstract: Various embodiments provide a device for processing a carrier, the device including: a carrier receiving portion configured to receive a carrier, the carrier including one or more planar regions and one or more edge regions; a processing portion including: a first electrode; a second electrode, wherein the second electrode is separated from the first electrode; and a dielectric material formed between the first electrode and the second electrode; and wherein the first electrode is configured to receive a first potential and the second electrode is configured to received a second potential to activate supplied gas between the first electrode and the second electrode; wherein the first electrode and the second electrode are arranged to direct more supplied activated gas to the one or more edge regions than to the one or more planar regions of the carrier.
    Type: Application
    Filed: October 25, 2011
    Publication date: April 25, 2013
    Applicant: INFINEON TECHNOLOGIES AG
    Inventor: Manfred Engelhardt
  • Publication number: 20130095601
    Abstract: An in-situ method of cleaning a vacuum deposition chamber can include flowing at least one reactive gas into the chamber.
    Type: Application
    Filed: November 29, 2012
    Publication date: April 18, 2013
    Applicant: First Solar, Inc.
    Inventor: First Solar, Inc.
  • Patent number: 8419859
    Abstract: A method of cleaning a plasma processing apparatus for processing a target in a process container, which is vacuum-evacuatable, using plasma, includes performing a first cleaning process by supplying a cleaning gas into the process container to generate plasma and maintaining the pressure in the process container at a first pressure, and performing a second cleaning process by supplying a cleaning gas into the process container to generate plasma and maintaining the pressure in the process container at a second pressure that is higher than the first pressure. Accordingly, the plasma processing apparatus can be efficiently and rapidly cleaned without damaging at least one of the group consisting of inner surfaces of the process container and members in the process container.
    Type: Grant
    Filed: February 18, 2008
    Date of Patent: April 16, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Noriaki Fukiage, Shinji Komoto, Hiroyuki Takaba, Kiyotaka Ishibashi
  • Patent number: 8404052
    Abstract: A method for cleaning the surface of a silicon substrate, covered by a layer of silicon oxide includes: a) exposing the surface for 60 to 900 seconds to a radiofrequency plasma, generated from a fluorinated gas, to strip the silicon oxide layer and induce the adsorption of fluorinated elements on the substrate surface, the power density generated using the plasma being 10 mW/cm2 to 350 mW/cm2, the fluorinated gas pressure being 10 mTorrs to 200 mTorrs, and the substrate temperature being lower than or equal to 300° C.; and b) exposing the surface including the fluorinated elements for 5 to 120 seconds to a hydrogen radiofrequency plasma, to remove the fluorinated elements from the substrate surface, the power density generated using the plasma being 10 mW/cm2 to 350 mW/cm2, the hydrogen pressure being 10 mTorrs to 1 Torr, and the substrate temperature being lower than or equal to 300° C.
    Type: Grant
    Filed: August 23, 2010
    Date of Patent: March 26, 2013
    Assignees: Centre National de la Recherche Scientifique, Ecole Polytechnique
    Inventors: Pere Roca I Cabarrocas, Mario Moreno
  • Patent number: 8398778
    Abstract: A method of cleaning a bevel edge of a semiconductor substrate is provided. A semiconductor substrate is placed on a substrate support in a reaction chamber of a plasma processing apparatus. The substrate has a dielectric layer overlying a top surface and a bevel edge of the substrate, the layer extending above and below an apex of the bevel edge. A process gas is introduced into the reaction chamber and energized into a plasma. The bevel edge is cleaned with the plasma so as to remove the layer below the apex without removing all of the layer above the apex.
    Type: Grant
    Filed: March 14, 2008
    Date of Patent: March 19, 2013
    Assignee: Lam Research Corporation
    Inventors: Tong Fang, Yunsang Kim, Keechan Kim, George Stojakovic
  • Patent number: 8398812
    Abstract: A substrate treating apparatus for stripping photoresist on a substrate includes a support part for supporting the substrate, a dry-type treating part for stripping the photoresist on the substrate, and a wet-type treating part for stripping the photoresist on the substrate. While the substrate is supported by the support part, the photoresist on the substrate is primarily stripped by means of the dry-type treating part and secondarily stripped by means of the wet-type treating part. The dry-type treating part includes a plasma supply unit configured to supply plasma onto the substrate and a moving unit configured to vary a relative position of the plasma supply unit and the substrate.
    Type: Grant
    Filed: July 31, 2007
    Date of Patent: March 19, 2013
    Assignee: Semes Co. Ltd.
    Inventors: Yi Jung Kim, Kyung Jin Seo, Chang Ro Yoon, Jung Keun Cho
  • Publication number: 20130061872
    Abstract: In an ion bombardment treatment apparatus (1A) and a cleaning method, base materials (W) to be treated are held by a work table (11) so as to be placed between a filament (3) and an anode (4) in a vacuum chamber (2), and a discharge power supply (5) which can generate a glow discharge upon the application of a potential difference between the filament (3) and the anode (4) is insulated from the vacuum chamber (2). In the ion bombardment treatment apparatus (1A) and the cleaning method, the efficiency of the cleaning of a base material can be improved and a power supply can be controlled stably.
    Type: Application
    Filed: May 16, 2011
    Publication date: March 14, 2013
    Applicant: Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.)
    Inventors: Naoyuki Goto, Homare Nomura, Shigeto Adachi, Koumei Fujioka
  • Publication number: 20130061870
    Abstract: In one embodiment, a method of cleaning a film forming apparatus includes: plasmatizing cleaning gas having at least one of the group consisting of chlorine gas, hydrocarbon gas, and chlorinated hydrocarbon gas; and supplying the plasmatized cleaning gas to a heated inner part of the film forming apparatus.
    Type: Application
    Filed: March 20, 2012
    Publication date: March 14, 2013
    Inventors: Akio Ui, Masato Akita
  • Publication number: 20130061871
    Abstract: During each idle period in which a plasma processing tool is not used in succession, upon lapse of a selected period of inactivity by the plasma production tool of between 10 and 60 minutes, a plasma is generated within the plasma processing tool to heat the vacuum enclosure to an operating temperature reached during production use of the plasma processing tool. A gas-only purge is then performed, and the vacuum enclosure is pumped down to a base vacuum to remove small particles of less than 0.12 microns that may otherwise generate on the interior walls of the vacuum enclosure. Extended operation of the plasma processing tool without failure of particle qualification or reduced availability is achieved.
    Type: Application
    Filed: July 27, 2012
    Publication date: March 14, 2013
    Applicant: Texas Instruments Incorporated
    Inventors: David Henry Collins, Carl Kenneth Elliott
  • Publication number: 20130056022
    Abstract: Bare aluminum baffles are adapted for resist stripping chambers and include an outer aluminum oxide layer, which can be a native aluminum oxide layer or a layer formed by chemically treating a new or used bare aluminum baffle to form a thin outer aluminum oxide layer.
    Type: Application
    Filed: October 31, 2012
    Publication date: March 7, 2013
    Applicant: Lam Research Corporation
    Inventor: Lam Research Corporation
  • Publication number: 20130053760
    Abstract: A device and method for generating a physical plasma in hoses of long and simultaneously constricted lumen, flexible or rigid dielectric hoses, tubes or other hollow bodies in the low, normal or overpressure range, which are partially or completely filled or flushed by process medium of gas or gas mixtures, one or more liquids, liquids including gas bubbles, liquid-gas mixtures, aerosols and/or foam, for purposes of cleaning, activating, coating, modifying and biologically decontaminating, disinfecting, sterilizing the inner walls of the hoses or the process medium itself. The device includes a high voltage supply and a process medium supply, at least one electrically conductive grounded electrode and at least one electrically conductive high voltage electrode, both embedded in the wall of the hose.
    Type: Application
    Filed: January 26, 2011
    Publication date: February 28, 2013
    Applicant: Leibniz-Institut Fuer Plasmaforschung und Technologie e.V.
    Inventors: Joerg Ehlbeck, Klaus-Dieter Weltmann, Manfred Stieber, Joern Winter, Kim Winterweber
  • Publication number: 20130048014
    Abstract: Provided herein are methods and apparatus of hydrogen-based photoresist strip operations that reduce dislocations in a silicon wafer or other substrate. According to various embodiments, the hydrogen-based photoresist strip methods can employ one or more of the following techniques: 1) minimization of hydrogen budget by using short processes with minimal overstrip duration, 2) providing dilute hydrogen, e.g., 2%-16% hydrogen concentration, 3) minimization of material loss by controlling process conditions and chemistry, 4) using a low temperature resist strip, 5) controlling implant conditions and concentrations, and 6) performing one or more post-strip venting processes. Apparatus suitable to perform the photoresist strip methods are also provided.
    Type: Application
    Filed: August 20, 2012
    Publication date: February 28, 2013
    Inventors: Roey Shaviv, Kirk Ostrowski, David Cheung, Joon Park, Bayu Thedjoisworo, Patrick J. Lord
  • Patent number: 8377216
    Abstract: A vacuum processing apparatus includes a vacuum chamber for performing a plasma process and a cleaning process unit for performing a cleaning process to apply a plasma process to a wafer on which a single layer or a laminated film containing a metallic film is formed by using a corrosive gas, and a control unit having a sequence to abort the plasma process when an abnormality occurs in the vacuum chamber and transfer the wafer subjecting to the aborting of the plasma process to the cleaning process unit, after elapsing a predetermined time, to perform the cleaning process.
    Type: Grant
    Filed: July 29, 2010
    Date of Patent: February 19, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Masakazu Okai, Kenji Tamai, Toru Ueno
  • Patent number: 8366868
    Abstract: A substrate processing apparatus cleaning method that includes: containing a cleaning gas in a reaction tube without generating a gas flow of the cleaning gas in the reaction tube by supplying the cleaning gas into the reaction tube and by completely stopping exhaustion of the cleaning gas from the reaction tube or by exhausting the cleaning gas at an exhausting rate which substantially does not affect uniform diffusion of the cleaning gas in the reaction tube from at a point of time of a period from a predetermined point of time before the cleaning gas is supplied into the reaction tube to a point of time when several seconds are elapsed after starting of supply of the cleaning gas into the reaction tube; and thereafter exhausting the cleaning gas from the reaction tube.
    Type: Grant
    Filed: June 5, 2012
    Date of Patent: February 5, 2013
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Kazuyuki Okuda, Toru Kagaya, Masanori Sakai
  • Publication number: 20130026137
    Abstract: The invention relates to a device and a method for generating a pulsed (intermittent), cold, atmospheric pressure plasma, preferably a thread, for precise antimicrobial plasma treatment (antisepsis, disinfection, sterilization, decontamination) of very small surfaces and cavities, including on living human and animal bodies, preferably in the field of medicine, by means of a negative direct-current corona discharge, the device comprising at least one electrode for generating high field strengths, through or around which electrode the gas to be ionized flows in a gas channel, wherein the electrically conductive structure (surface, cavity) to be treated is used as the counter-electrode. Said plasma can also be used in general for cleaning, coating, activating, and etching surfaces.
    Type: Application
    Filed: November 27, 2010
    Publication date: January 31, 2013
    Applicant: Leibniz-Institut fuer Plasmaforschung und Technologie e.V.
    Inventors: Eckhard Kindel, Klaus-Dieter Weltmann, Norbert Lembke, Thomas Kocher
  • Publication number: 20130019894
    Abstract: In a plasma ashing processing on a sample including a Low-k film, a processing method that can prevent or reduce a film damage on the Low-k film while performing a high speed ashing processing is provided. A plasma processing method for performing a plasma processing on the sample including a Low-k film 15 includes: a step of performing plasma etching on the sample; and a step of performing plasma ashing on the sample including the Low-k film 15 with a resist mask 13, a carbon hard mask 14, and by-products 16 that have been subjected to plasma etching in the plasma etching process by a carbon (C+) radical 18 and a hydrogen (H+) radical 20 generated from methane (CH4) gas 19, using mixed gas including the methane (CH4) gas 19, which is hydrocarbon gas, and argon (Ar) gas, which is noble gas.
    Type: Application
    Filed: June 28, 2012
    Publication date: January 24, 2013
    Inventors: Yutaka KUDOU, Shin HIYAMA
  • Patent number: 8349087
    Abstract: A semiconductor device manufacturing method includes loading plural dry-etched wafers one by one in a container having a side door so as to be disposed substantially horizontally and in layers vertically therein; and blowing out a purge gas horizontally to those wafers loaded in the container for 30 sec or more after all the subject wafers are loaded in the container while the side door is open.
    Type: Grant
    Filed: January 12, 2010
    Date of Patent: January 8, 2013
    Assignee: Renesas Electronics Corporation
    Inventors: Hidetaka Nambu, Nobuo Hironaga, Futoshi Ota, Toru Yokoyama, Osamu Sugawara, Ryo Satou, Masato Tamura
  • Patent number: 8349401
    Abstract: A method for using a film formation apparatus includes performing a main cleaning process and a post cleaning process in this order inside a reaction chamber. The main cleaning process is arranged to supply a cleaning gas containing fluorine into the reaction chamber while exhausting gas from inside the reaction chamber, thereby etching a film formation by-product containing silicon. The post cleaning process is arranged to remove a silicon-containing fluoride generated by the main cleaning process and remaining inside the reaction chamber and to alternately repeat, a plurality of times, supplying an oxidizing gas into the reaction chamber to transform the silicon-containing fluoride into an intermediate product by oxidization, and supplying hydrogen fluoride gas into the reaction chamber while exhausting gas from inside the reaction chamber to remove the intermediate product by a reaction between the hydrogen fluoride gas and the intermediate product.
    Type: Grant
    Filed: January 8, 2010
    Date of Patent: January 8, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Jun Sato, Kiyotaka Kikuchi, Hiroki Murakami, Shigeru Nakajima, Kazuhide Hasebe
  • Publication number: 20130001196
    Abstract: This disclosure describes systems, methods, and apparatuses for generating an ionizing electromagnetic field via a remote plasma source such that the field controllably extends through a field projection portion where the field attenuates, to a plasma processing portion where the field is attenuated but still strong enough to sustain a plasma. The plasma has a low voltage and RF energy and can be used for a variety of semiconductor and thin film processing operations including chamber cleaning via radical generation, etching, and deposition.
    Type: Application
    Filed: June 30, 2011
    Publication date: January 3, 2013
    Inventors: Daniel J. Hoffman, Daniel Carter, Karen Peterson, Randy Grilly
  • Publication number: 20120325264
    Abstract: An apparatus and the use of such an apparatus and method for producing microcomponents with component structures are presented which are generated in a process chamber on a substrate according to the LIGA method for example and are stripped from the enclosing photoresist with the help of a cooled remote plasma source.
    Type: Application
    Filed: August 30, 2012
    Publication date: December 27, 2012
    Applicant: R3T GmbH Rapid Reactive Radicals Technology
    Inventor: Josef MATHUNI
  • Patent number: 8337623
    Abstract: Methods for operating a plasma processing chamber for a cleaning operation of an internal region of the plasma processing chamber are disclosed. The method is performed when a semiconductor wafer is not present in the plasma processing chamber. The plasma processing chamber has a bottom electrode assembly that includes an inner bottom electrode and an outer bottom electrode, and the inner bottom electrode and outer bottom electrode are electrically isolated by a dielectric ring. The method includes configuring the inner bottom electrode to be set at a floating potential and supplying a process gas into the plasma processing chamber. And, supplying RF power to the outer bottom electrode. The supplying of RF power to the outer bottom electrode is conducted while maintaining the inner bottom electrode at the floating potential and is isolated by the dielectric ring. The RF power produces a plasma that is generated substantially outside of the inner bottom electrode and over the outer bottom electrode.
    Type: Grant
    Filed: July 26, 2011
    Date of Patent: December 25, 2012
    Assignee: Lam Research Corporation
    Inventor: Rajinder Dhindsa
  • Patent number: 8333868
    Abstract: An apparatus for the plasma treatment of molds (2), in particular for contact lens molds, comprises a treatment chamber (50), in which a first electrode (51) is arranged facing a carrier (1;4) for carrying the molds (2) to be treated. The carrier (1;4) forms the second electrode (52) and comprises a first metal plate (10;40) having holes (100;400) therein and a second metal plate (11;41) which is arranged spaced apart from the first metal plate (10;40), and which is connected to the first metal plate (10;40) in an electrically conductive manner (12,13;43). The molds (2) are arranged on the second metal plate (11;41) with their molding surfaces (210) facing towards the first electrode (51) and are exposable to plasma through the holes (100;400) in the first metal plate (10;40).
    Type: Grant
    Filed: January 21, 2009
    Date of Patent: December 18, 2012
    Assignee: Novartis AG
    Inventors: Gabriela Cocora, Axel Heinrich, Peter Hagmann
  • Patent number: 8323414
    Abstract: A particle removal apparatus for removing particles from a chamber of a plasma processing apparatus, wherein the chamber is connected to a gas exhaust port and a plasma of a processing gas is generated in the chamber to plasma process a substrate to be processed, includes a particle charging control member for positively charging particles generated within the chamber by positive ions of an ion sheath region formed in a region other than the vicinity of the substrate to be processed, wherein positively charged particles are discharged from the chamber via the gas exhaust port. Therefore, there is no plasma disturbance or metal contamination, and thus can be applied to a practical use.
    Type: Grant
    Filed: October 19, 2011
    Date of Patent: December 4, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Tsuyoshi Moriya, Hiroshi Nagaike
  • Publication number: 20120298133
    Abstract: A device to provide improved anti-smudging, better gripping and longer shelf-life to products and surfaces includes an electric superheated steam generator and an electric low-ion plasma generator to provide superheated steam and low-ion plasma to the surfaces of products including plastics. One embodiment envisions the superheated steam generator and the low-ion plasma generator being contained in a housing while another embodiment anticipates a conveyor means positioned in front of the superheated steam generator and the low-ion plasma generator. A method for the improving of anti-smudging, gripping and shelf-life for properties includes the application of superheated steam and low-ion plasma by means of a superheated steam generator and a low-ion plasma generator to products for specific periods of time and at specific distances to attain desired surface and bulk properties. The superheated steam and low-ion plasma may be applied individually, simultaneously or sequentially.
    Type: Application
    Filed: September 20, 2010
    Publication date: November 29, 2012
    Inventors: Venkata Burada, Jainagesh Sekhar, Jerod Batt, G.S. Reddy, Brian Kandell
  • Publication number: 20120298134
    Abstract: A method for cleaning an optical element of an extreme ultraviolet light source device for removing, from the optical element in a chamber, scattered matter generated together with extreme ultraviolet light by plasma formed through laser beam excitation of a target in the chamber, the method which comprises: making the scattered matter generated by the plasma no larger than nanosize by using solid tin as the target and using a CO2 laser as an excitation source of the solid tin; and imparting, to the scattered matter no larger than the nanosize adhered to the optical element, an effect of overcoming the adherence of the scattered matter.
    Type: Application
    Filed: August 6, 2012
    Publication date: November 29, 2012
    Applicant: GIGAPHOTON INC.
    Inventors: Masato Moriya, Yoshifumi Ueno, Tamotsu Abe, Akira Sumitani
  • Publication number: 20120299184
    Abstract: A monitor wafer for use in monitoring a preclean process and method of making same are described. One embodiment is a monitor wafer comprising a silicon base layer; a capping layer disposed on the silicon base layer; and a barrier layer disposed on the USG layer. The monitor wafer further comprises a copper (“Cu”) seed layer disposed on the barrier layer; and a thick Cu layer disposed on the Cu seed layer.
    Type: Application
    Filed: May 25, 2011
    Publication date: November 29, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Kuo-Liang Sung, Cheng-Hui Weng
  • Patent number: 8317929
    Abstract: A method for cleaning elements of a lithographic apparatus, for example optical elements such as a collector mirror, includes providing a gas containing nitrogen; generating nitrogen radicals from at least part of the gas, thereby forming a radical containing gas; and providing at least part of the radical containing gas to the one or more elements of the apparatus. A lithographic apparatus includes a source and an optical element, and an electrical discharge generator arranged to generate a radio frequency discharge.
    Type: Grant
    Filed: March 6, 2006
    Date of Patent: November 27, 2012
    Assignee: ASML Netherlands B.V.
    Inventors: Tatyana Victorovna Rakhimova, Vadim Yevgenyevich Banine, Vladimir Vitalevich Ivanov, Konstantin Nikolaevitch Koshelev, Johannes Hubertus Johannes Moors, Aleksander Sergeevich Kovalev, Dmitriy Victorovich Lopaev
  • Publication number: 20120285481
    Abstract: Embodiments of the invention generally relate to methods of removing and/or cleaning a substrate surface having different material layers disposed thereon using water vapor plasma treatment. In one embodiment, a method for cleaning a surface of a substrate includes positioning a substrate into a processing chamber, the substrate having a dielectric layer disposed thereon forming openings on the substrate, exposing the dielectric layer disposed on the substrate to water vapor supplied into the chamber to form a plasma in the water vapor, maintaining a process pressure in the chamber at between about 1 Torr and about 120 Torr, and cleaning the contact structure formed on the substrate.
    Type: Application
    Filed: November 8, 2011
    Publication date: November 15, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Kwangduk Douglas Lee, Sudha Rathi, Chiu Chan, Martin J. Seamons, Bok Heon Kim
  • Publication number: 20120279519
    Abstract: A method for cleaning a substrate having organic and inorganic residues disposed thereon is provided. The method includes removing organic residue from the substrate using atmospheric oxygen plasma, and removing inorganic residue from the substrate using cryogenic CO2. The substrate may be pretreated using a benign cooling agent, and post-treated using a dilute wet chemical cleaning method.
    Type: Application
    Filed: October 28, 2011
    Publication date: November 8, 2012
    Applicant: RAVE N.P., INC.
    Inventors: Gordon Scott Swanson, Ivin Varghese, Mehdi Balooch
  • Patent number: 8303719
    Abstract: A deposit removing method that can reliably remove deposit produced in plasma processing using plasma produced from a process gas containing methane gas and oxygen gas. In a chamber in which an electrode to which radio frequency electrical power is supplied is disposed, plasma processing is carried out on a substrate using the plasma produced from the process gas containing methane gas and oxygen gas, and then a cleaning step is carried out in which plasma is produced from a mixed gas containing fluorinated compound gas containing hydrogen in the chamber.
    Type: Grant
    Filed: February 19, 2009
    Date of Patent: November 6, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Sungtae Lee, Yusuke Nakagawa, Jun Yashiro
  • Patent number: 8298957
    Abstract: The present invention is a plasma etching method comprising: a cleaning step (a) in which a cleaning gas is supplied into a processing vessel and the cleaning gas is made plasma, so that a deposit adhering to an inside of the processing vessel is removed by means of the plasma; a film depositing step (b), succeeding the cleaning step (a), in which a film depositing gas containing carbon and fluorine is supplied into the processing vessel and the film depositing gas is made plasma, so that a film containing carbon and fluorine is deposited on the inside of the processing vessel by means of the plasma; an etching step (c), succeeding the film depositing step (b), in which a substrate is placed on a stage inside the processing vessel, and an etching gas is supplied into the processing vessel and the etching gas is made plasma, so that the substrate is etched by means of the plasma; and an unloading step (d), succeeding the etching step (c), in which the substrate is unloaded from the processing vessel; wherein,
    Type: Grant
    Filed: February 6, 2009
    Date of Patent: October 30, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Yosuke Sakao, Kensuke Kamiutanai, Akitaka Shimizu