Plasma Cleaning Patents (Class 134/1.1)
  • Patent number: 8294115
    Abstract: A linear plasma electron source is provided. The linear plasma electron source includes a housing acting as a first electrode, the housing having side walls a slit opening in the housing for trespassing of a electron beam, the slit opening defining a length direction of the source, a second electrode being arranged within the housing and having a first side facing the slit opening, the first side being spaced from the slit opening by a first distance, wherein the length of the electron source in the length direction is at least 5 times the first distance, and at least one gas supply for providing a gas into the housing.
    Type: Grant
    Filed: November 17, 2008
    Date of Patent: October 23, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Guenter Klemm, Volker Hacker, Hans-Georg Lotz
  • Publication number: 20120251723
    Abstract: Plasma is generated on the first condition between a cathode electrode and an anode electrode. Then, plasma is generated on the second condition different from the first condition. The second condition is for spreading plasma between the cathode electrode and the anode electrode in the outer peripheral direction as compared with the first condition. Accordingly, in addition to a deposit on the electrode, a deposit on the member provided in the vicinity of the outer periphery of the electrode can be immediately removed.
    Type: Application
    Filed: December 8, 2010
    Publication date: October 4, 2012
    Inventors: Katsushi Kishimoto, Kazuhiko Isshiki
  • Publication number: 20120247504
    Abstract: An apparatus is used for removing contaminants from a surface and includes a chamber filled with a clean process gas, a surface positioning device, a carbon dioxide snow spray nozzle, a laser beam generator and focusing device and a process gas nozzle. The nozzles and a focal point of the laser beam are linearly aligned. The surface is held at a desired position and bombarded with carbon dioxide snow and with a high pressure wave to release the contaminants from the surface whereupon the released materials are swept to one side of the surface by a jet of the process gas. The process may proceed with point to point contamination removal based on prior surface examination and discovery of contamination sites, or may be scanned with essentially continuous contamination removal.
    Type: Application
    Filed: March 25, 2011
    Publication date: October 4, 2012
    Inventors: Waleed Nasr, Khaled Nasr
  • Patent number: 8277563
    Abstract: The invention provides a plasma processing method which includes (i) feeding a transferring gas which decreases a pressure difference between a processing chamber and a transfer chamber in order to prevent particles from adhering a processing sample, to be processed, passed to the processing chamber, before transferring the sample into the processing chamber; (ii) transferring the sample into the processing chamber while continuing to feed the transferring gas to the processing chamber; (iii) generating a plasma from the transferring gas in the processing chamber while continuing to feed the transferring gas to the processing chamber after the step of transferring the sample; and (iv) changing a gas supplied to the processing chamber from the transferring gas used in the step of generating the plasma to a processing gas for subjecting the processing sample, different from a cleaning sample, to plasma processing.
    Type: Grant
    Filed: February 1, 2011
    Date of Patent: October 2, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Masunori Ishihara, Masamichi Sakaguchi, Yasuhiro Nishimori, Yutaka Kudou, Satoshi Une
  • Patent number: 8277567
    Abstract: A method of cleaning a turbo pump is described. The turbo pump is coupled with a CVD chamber of depositing a material and thus accumulates the material therein. The method includes switching off the turbo pump and using another pump to pump a reactive gas, which can react with the material to form gaseous products, through the turbo pump. Thereby, the turbo pump is cleaned up and is prevented from being a particle source in subsequent CVD operations.
    Type: Grant
    Filed: August 8, 2011
    Date of Patent: October 2, 2012
    Assignee: United Microelectronics Corp.
    Inventors: Kian-Soong Poh, Jui-Ling Tang, Chong-Tat Lee, Cheng-Chung Lim
  • Patent number: 8278186
    Abstract: The present invention relates to a wafer cleaning and a wafer bonding method using the same that can improve a yield of cleaning process and bonding property in bonding the cleaned wafer by cleaning the wafer using atmospheric pressure plasma and cleaning solution. The wafer cleaning method includes the steps of providing a process chamber with a wafer whose bonding surface faces upward, cleaning and surface-treating the bonding surface of the wafer by supplying atmospheric pressure plasma and a cleaning solution to the bonding surface of the wafer, and withdrawing out the wafer from the process chamber.
    Type: Grant
    Filed: October 31, 2007
    Date of Patent: October 2, 2012
    Assignee: Ltrin Co., Ltd.
    Inventors: Yong Won Cha, Dong Chul Kim
  • Patent number: 8277564
    Abstract: A method for removing a hardened photoresist from a semiconductor substrate. An example method for removing a hardened photoresist layer from a substrate comprising a low-? dielectric material preserving the characteristics of the low-?dielectric material includes: a)—providing a substrate comprising a hardened photoresist layer and a low-? dielectric material at least partially exposed; b)—forming C?C double bonds in the hardened photoresist by exposing the hardened photoresist to UV radiation having a wavelength between 200 nm and 300 nm in vacuum or in an inert atmosphere; c)—breaking the C?C double bonds formed in step b) by reacting the hardened photoresist with ozone (O3) or a mixture of ozone (O3) and oxygen (O2) thereby fragmenting the hardened photoresist; and d)—removing the fragmented photoresist obtained in step c) by wet processing with cleaning chemistries.
    Type: Grant
    Filed: September 17, 2009
    Date of Patent: October 2, 2012
    Assignee: IMEC
    Inventors: Quoc Toan Le, Els Kesters, Guy Vereecke
  • Publication number: 20120234351
    Abstract: Disclosed is a cleaning gas for deposits, which contains CHF2COF. The cleaning gas may contain O2, O3, CO, CO2, F2, NF3, Cl2, Br2, I2, XFn (In this formula, X represents Cl, I or Br. n represents an integer satisfying 1?n?7.), CH4, CH3F, CH2F2, CHF3, N2, He, Ar, Ne, Kr and the like, and can be applied to deposits that include at least one selected from the group consisting of W, Ti, Mo, Re, Ge, P, Si, V, Nb, Ta, Se, Te, Os, Ir, Sb, Au, Ag, As, Cr, Hf, Zr, Ni, Co, their compounds, and the like. This cleaning gas is not only excellent in cleaning performances but also easily available and does not substantially by-produce CF4 that places a burden on the environment.
    Type: Application
    Filed: November 19, 2010
    Publication date: September 20, 2012
    Applicant: Central Glass Company ,Limited
    Inventors: Naoto Takada, Isamu Mori
  • Publication number: 20120227762
    Abstract: Disclosed are compounds for plasma ashing photoresist layers on a substrate and methods of using the same. The plasma ashing compounds induce limited to no damage to the underlying layer, such as the low-k film layer.
    Type: Application
    Filed: October 14, 2010
    Publication date: September 13, 2012
    Applicant: American Air Liquide, Inc.
    Inventors: Christian Dussarrat, Rahul Gupta, Vincent M. Omarjee, Nathan Stafford
  • Patent number: 8262801
    Abstract: A vacuum processing method using an apparatus including a processing chamber disposed in a vacuum reactor and having plasma formed thereon, a sample stage having a sample placed on the upper plane thereof, and a gas introducing mechanism, wherein the sample stage includes a gas supply port for introducing thermal conductance gas between the sample stage and the sample to be processed. The method includes placing a dummy sample on the sample stage, introducing dust removal gas between the sample stage and the dummy sample, and removing particles attached to the sample stage via the flow of dust removal gas.
    Type: Grant
    Filed: August 11, 2010
    Date of Patent: September 11, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Ken Kitaoka, Masamichi Sakaguchi, Kazue Takahasi
  • Patent number: 8262800
    Abstract: Improved methods of removing tungsten film from the interior reactor and reactor component surfaces between tungsten deposition operations are provided. The methods involve increasing the availability of molecular fluorine to remove tungsten from the reactor while maintaining fast removal rates. Certain embodiments involve a multi-stage process including a stage in which atomic fluorine is introduced at a low pressure (e.g., about 8 Torr or less) and a stage in which molecular fluorine is introduced or allowed to form in the chamber at high pressure (e.g., about 8 Torr or higher).
    Type: Grant
    Filed: February 12, 2008
    Date of Patent: September 11, 2012
    Assignee: Novellus Systems, Inc.
    Inventors: Panya Wongsenakhum, Abhishek Manohar
  • Publication number: 20120222699
    Abstract: Methods for removing halogen-containing residues from a substrate are provided. By combining the heat-up and plasma abatement steps, the manufacturing throughput can be improved. Further, by appropriately controlling the pressure in the abatement chamber, the removal efficiency can be improved as well.
    Type: Application
    Filed: February 29, 2012
    Publication date: September 6, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Adauto Diaz, Andrew Nguyen, Benjamin Schwarz, Eu Jin Lim, Jared Ahmad Lee, James P. Cruse, Li Zhang, Scott M. Williams, Xiaoliang Zhuang, Zhuang Li
  • Publication number: 20120222700
    Abstract: According to one embodiment, a template substrate processing apparatus used in imprint lithography, includes a stage which has a convex portion that engages with a concave portion formed at an underside of the template substrate.
    Type: Application
    Filed: March 2, 2012
    Publication date: September 6, 2012
    Inventor: Takumi OTA
  • Patent number: 8257503
    Abstract: A method for detecting plasma unconfinement in a reaction chamber during a bevel edge cleaning operation is provided. The method initiates with selecting a wavelength associated with expected by products of a bevel edge clean process. The method includes cleaning the bevel edge area of a substrate and monitoring the intensity of the selected wavelengths during the cleaning for deviation from a threshold wavelength intensity. The cleaning is terminated if the deviation from the threshold wavelength intensity exceeds a target deviation.
    Type: Grant
    Filed: May 2, 2008
    Date of Patent: September 4, 2012
    Assignee: Lam Research Corporation
    Inventors: KeeChan Kim, Yunsang Kim, Andrew D. Bailey, III
  • Publication number: 20120211023
    Abstract: A method for removing a silicon hydride from the surface of a solid body which comprises treating the silicon hydride with a gas comprising molecular fluorine or reactive species generated from molecular fluorine.
    Type: Application
    Filed: October 28, 2010
    Publication date: August 23, 2012
    Applicant: SOLVAY FLUOR GMBH
    Inventors: Marcello Riva, Stefan Mross
  • Publication number: 20120213941
    Abstract: A boundary between a plasma and a plasma sheath is controlled such that a portion of the shape is not parallel to a plane defined by a front surface of the workpiece facing the plasma. Ions in the plasma are directed toward the workpiece. These ions can either seal pores or clean a material from a structure on the workpiece. This structure may, for example, have multiple sidewalls. A process that both cleans a material and seals pores in the structure may be performed.
    Type: Application
    Filed: February 21, 2012
    Publication date: August 23, 2012
    Applicant: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.
    Inventors: Louis Steen, Ludovic Godet, Patrick M. Martin
  • Publication number: 20120214312
    Abstract: For the plasma assisted manufacture of semiconductors, photovoltaic cells, thin film transistor liquid crystal displays and micro-electromechanical systems, and for chamber cleaning, F2 or COF2 is applied as etchant. It was found that a plasma emitter providing microwaves with a frequency of equal to or greater than 15 MHz provides plasma very effectively.
    Type: Application
    Filed: October 28, 2010
    Publication date: August 23, 2012
    Applicant: SOLVAY SA
    Inventor: Marcello Riva
  • Publication number: 20120208351
    Abstract: A cleaning apparatus for a semiconductor manufacturing apparatus includes: a oxide removal unit that removes an oxide over a surface of a deposit adhered to components of the semiconductor manufacturing apparatus, and a deposit removal unit that removes the deposit after the oxide over the surface is removed by the oxide removal unit.
    Type: Application
    Filed: January 25, 2012
    Publication date: August 16, 2012
    Applicant: FUJITSU LIMITED
    Inventors: Norikazu Nakamura, Atsushi Yamada, Masayuki Takeda, Keiji Watanabe, Kenji Imanishi
  • Patent number: 8241426
    Abstract: When the remaining slurry and polishing residue are removed by cleaning with a cleaning liquid (preferably a cleaning liquid containing a surfactant), organic matter in the cleaning liquid containing a surfactant seeps into the interlayer insulating film 3. Therefore, the substrate is subsequently washed with an organic solvent or a solution containing an organic solvent, thus washing away the organic matter that has seeped into the interlayer insulating film 3. Although the interlayer insulating film 3 is subjected to a hydrophobic treatment, since the solvent used is an organic solvent, this solvent is able to seep into the interlayer insulating film 3, dissolve the organic matter, and wash the organic matter away without being affected by this hydrophobic treatment. Afterward, the substrate 1 is dried, and the organic solvent or solution containing an organic solvent that is adhering to the surface is removed.
    Type: Grant
    Filed: December 21, 2005
    Date of Patent: August 14, 2012
    Assignees: Nikon Corporation, EBARA Corporation
    Inventors: Syozo Takada, Hisanori Matsuo, Akira Ishikawa
  • Patent number: 8236109
    Abstract: A method for cleaning a component in a substrate processing apparatus including a processing chamber, foreign materials being attached to the component, at least a part of the component being exposed inside the processing chamber, and the substrate processing apparatus being adapted to load and unload a foreign material adsorbing member into and from the processing chamber. The method includes loading the foreign material adsorbing member into the processing chamber; generating a plasma nearer the component than the foreign material adsorbing member; extinguishing the plasma; and unloading the foreign material adsorbing member from the processing chamber, wherein the generation and the extinguishment of the plasma are repeated alternately and the foreign material adsorbing member has a positive potential at least during the extinguishment of the plasma.
    Type: Grant
    Filed: December 16, 2009
    Date of Patent: August 7, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Tsuyoshi Moriya, Akitaka Shimizu
  • Patent number: 8231732
    Abstract: A cleaning method that can prevent abnormal wear of an O-ring. A cleaning gas containing at least oxygen gas is supplied to the interior of a chamber in which a substrate is accommodated, and radio-frequency voltage is applied to the interior of the chamber to produce oxygen radicals from the cleaning gas. When the amount of deposit produced in the chamber in plasma processing is larger than a predetermined amount, the amount of fluorine radicals in the chamber is increased, and when the amount of the deposit is smaller than the predetermined amount, the amount of fluorine radicals in the chamber is decreased.
    Type: Grant
    Filed: February 19, 2009
    Date of Patent: July 31, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Yusuke Nakagawa
  • Publication number: 20120180810
    Abstract: A method for cleaning at least one component arranged in the inner region of a plasma process chamber using a cleaning gas including fluorine gas, where the process chamber has at least one electrode and counter-electrode for generating a plasma for plasma treatment, where the inner region is exposed to gaseous fluorine compounds with a partial pressure of greater than 5 mbar, where the process chamber has at least one electrode and counter-electrode for generating a plasma, and the fluorine gas is thermally activated by means of a temperature-regulating means, where the component to be cleaned has a temperature of<350° C.
    Type: Application
    Filed: May 28, 2010
    Publication date: July 19, 2012
    Applicant: LEYBOLD OPTICS GMBH
    Inventors: Rudolf Beckmann, Michael Geisler, Harald Rost
  • Patent number: 8216382
    Abstract: A foreign matter removal method that removes foreign matter attached to a surface of a substrate having been subjected to predetermined processing. An edge of a rotating substrate mounted on a mounting stage is irradiated with misalignment measurement laser light. The misalignment measurement laser light other than the laser light blocked by the edge of the substrate is received, and power thereof is detected. The amount of misalignment of the substrate is calculated based on the detected power of the misalignment measurement laser light and a detected rotation angle of the rotating substrate. The misalignment of the substrate is corrected for based on the calculated amount of misalignment. After that, foreign matter removal laser light is irradiated, and a process gas that is to react with the foreign matter is jetted to the edge of the substrate. Consequently, the foreign matter attached to the substrate is decomposed and removed.
    Type: Grant
    Filed: December 14, 2009
    Date of Patent: July 10, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Takehiro Shindo
  • Patent number: 8211802
    Abstract: A substrate processing apparatus cleaning method that includes: containing a cleaning gas in a reaction tube without generating a gas flow of the cleaning gas in the reaction tube by supplying the cleaning gas into the reaction tube and by completely stopping exhaustion of the cleaning gas from the reaction tube or by exhausting the cleaning gas at an exhausting rate which substantially does not affect uniform diffusion of the cleaning gas in the reaction tube from at a point of time of a period from a predetermined point of time before the cleaning gas is supplied into the reaction tube to a point of time when several seconds are elapsed after starting of supply of the cleaning gas into the reaction tube; and thereafter exhausting the cleaning gas from the reaction tube.
    Type: Grant
    Filed: November 24, 2010
    Date of Patent: July 3, 2012
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Kazuyuki Okuda, Toru Kagaya, Masanori Sakai
  • Patent number: 8211238
    Abstract: A method for cleaning a processing chamber that includes heating an inner surface of the processing chamber to a first temperature. The first temperature can be sufficient to cause a first species to become volatile. The first species can be one of several species deposited on the inner surface. A cleaning chemistry is injected into the processing chamber. The cleaning chemistry can be reactive with a second one of the species to convert the second species to the first species. The volatilized first species can also be output from the processing chamber. A system for cleaning the process chamber is also described.
    Type: Grant
    Filed: May 31, 2006
    Date of Patent: July 3, 2012
    Assignee: Lam Research Corporation
    Inventors: Andrew D. Bailey, III, Shrikant P. Lohokare, Arthur M. Howald, Yunsang Kim
  • Patent number: 8202355
    Abstract: The present invention provides a method and apparatus for removing chemical sterilant molecules from a medium, such as a carrier gas. In one embodiment, the apparatus includes a housing that defines an internal cavity. The housing has an inlet and an outlet fluidly communicating with the internal cavity. An electrode is dimensioned to be received in the internal cavity of the housing. The electrode is made of a material that is chemically active with respect to molecules of a chemical sterilant and conductive to electricity. The electrode is connected to a source of an electrical charge such that an electrical field gradient is formed in a region of space surrounding the electrode. The electrical field gradient is operable to force the chemical sterilant molecule toward the electrode.
    Type: Grant
    Filed: November 16, 2011
    Date of Patent: June 19, 2012
    Assignee: STERIS Corporation
    Inventors: Michael A. Centanni, Thaddeus J. Mielnik
  • Publication number: 20120145186
    Abstract: A plasma processing apparatus includes a processing chamber, a first electrode and a second electrode attached to the processing chamber via an insulator. To generate a plasma of a processing gas in the processing space, a high frequency power supply unit applies to the first electrode a high frequency power having a predetermined high frequency. Further, to control energy of incident ions on the first and the second electrode from the plasma, a first low frequency power supply unit applies to the first electrode a first low frequency power having the frequency lower than the frequency of the high frequency power, and a second low frequency power supply unit applies to the second electrode a second low frequency power having the frequency lower than the frequency of the high frequency power.
    Type: Application
    Filed: February 17, 2012
    Publication date: June 14, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Chishio KOSHIMIZU
  • Publication number: 20120145185
    Abstract: A method for cleaning the surface of a silicon substrate, covered by a layer of silicon oxide includes: a) exposing the surface for 60 to 900 seconds to a radiofrequency plasma, generated from a fluorinated gas, to strip the silicon oxide layer and induce the adsorption of fluorinated elements on the substrate surface, the power density generated using the plasma being 10 mW/cm2 to 350 mW/cm2, the fluorinated gas pressure being 10 mTorrs to 200 mTorrs, and the substrate temperature being lower than or equal to 300° C.; and b) exposing the surface including the fluorinated elements for 5 to 120 seconds to a hydrogen radiofrequency plasma, to remove the fluorinated elements from the substrate surface, the power density generated using the plasma being 10 mW/cm2 to 350 mW/cm2, the hydrogen pressure being 10 mTorrs to 1 Torr, and the substrate temperature being lower than or equal to 300° C.
    Type: Application
    Filed: August 23, 2010
    Publication date: June 14, 2012
    Applicants: CENTRE NATIONAL DE LA RECHERCHE SCIENTIFIQUE, ECOLE POLYTECHNIQUE
    Inventors: Pere Roca I Cabarrocas, Mario Moreno
  • Patent number: 8197603
    Abstract: The present invention is a method, process and apparatus for selective cleaning, drying, and modifying substrate surfaces and depositing thin films thereon using a dense phase gas solvent and admixtures within a first created supercritical fluid anti-solvent. Dense fluids are used in combination with sub-atmospheric, atmospheric and super-atmospheric plasma adjuncts (cold and thermal plasmas) to enhance substrate surface cleaning, modification, precision drying and deposition processes herein. Moreover, conventional wet cleaning agents such as hydrofluoric acid and ammonium fluoride may be used with the present invention to perform substrate pre-treatments prior to precision drying and cleaning treatments described herein. Finally, dense fluid such as solid phase carbon dioxide and argon may be used as a follow-on treatment or in combination with plasmas to further treat a substrate surface.
    Type: Grant
    Filed: August 18, 2006
    Date of Patent: June 12, 2012
    Inventor: David P. Jackson
  • Publication number: 20120138085
    Abstract: Methods and apparatus for controlling a plasma processing system in a purely pull mode or a hybrid pull mode. In the purely pull mode, the back end assumes master control at least for requesting and scheduling loading of production wafers. In the hybrid pull mode, the back end assumes master control at least for tool maintenance/cleaning while the front end retains master control for production wafers.
    Type: Application
    Filed: December 7, 2010
    Publication date: June 7, 2012
    Inventors: Chung-Ho Huang, Cheng-Chieh Lin
  • Patent number: 8187389
    Abstract: A resist removing device 1 functions to remove a resist from a substrate while preventing occurrence of popping phenomenon and at the same time attains reduction in cost of energy for the resist removing and has a simplified constitution. The resist removing device 1 is equipped with a chamber 2 for containing therein a substrate 16 (for example, a substrate having a high-doze ion implanted resist), and with a pressure below the atmospheric pressure, the chamber 2 is fed with ozone gas, unsaturated hydrocarbons and water vapor. The ozone gas may be an ultra-high concentrated ozone gas that is produced by subjecting an ozone containing gas to a liquefaction-separation with the aid of a vapor pressure difference and then vaporizing the liquefied ozone. For cleaning the substrate 16 thus treated, it is preferable to use ultra-pure water. The chamber 2 is equipped with a susceptor 15 for holding the substrate 16. The susceptor 15 is heated to a temperature of 100° C. or below.
    Type: Grant
    Filed: May 8, 2008
    Date of Patent: May 29, 2012
    Assignee: Meidensha Corporation
    Inventor: Toshinori Miura
  • Patent number: 8187485
    Abstract: A diameter of a mounting unit of the stage of an ashing processing apparatus is less than a diameter of a mounting unit of the stage of an etching processing apparatus, and the diameter of the mounting unit of the stage of the etching processing apparatus is less than a diameter of an objective item.
    Type: Grant
    Filed: July 21, 2010
    Date of Patent: May 29, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Hiroyuki Kobayashi, Masaru Izawa
  • Publication number: 20120125889
    Abstract: A cluster beam generating apparatus that generates a cluster beam includes a mixer that mixes a gas source material and a liquid source material; a nozzle that supplies a cluster beam including clusters originating from the gas source material and the liquid source material that are mixed in the mixer; and a temperature adjusting portion that adjusts a temperature of the nozzle, thereby controlling a ratio of the clusters originating from the gas source material and the clusters originating from the liquid source material in the cluster beam.
    Type: Application
    Filed: May 23, 2011
    Publication date: May 24, 2012
    Applicants: Tokyo Electron Limited, HYOGO PREFECTURE
    Inventors: Noriaki TOYODA, Isao Yamada, Masaki Narushima
  • Publication number: 20120111359
    Abstract: A process and apparatus for cleaning and disinfection of textiles and the air from viruses, bacteria and spores, and also for purifying from dust, pollen, odors, etc. in which the employment of water and various other cleaning agents and disinfectants as well is not required includes a lock or chamberin which living beings are able to stay, and piece-goods and textiles, etc. are able to be treated as well. Therein, airborne aerosols (droplets, particles, dust) as well as aerosols and microbes, respectively, adhering to the clothing or body and to the product, respectively, are to be treated. The basic principle shall also be applicable to rooms (e.g. waiting rooms) or stables and under cleanroom conditions as well. Various aspects of the invention include plasma generation, producing an ion current from the plasma, ozone generation and activation, sterilization, oxidation and decomposition of gaseous components, and separation of microbes and aerosols and decomposition thereof.
    Type: Application
    Filed: August 8, 2008
    Publication date: May 10, 2012
    Applicant: Leibniz-Institut Fuer Plasmaforschung und Technologie e.V.
    Inventors: Siegfried Mueller, Rolf-Juergen Zahn, Wolfgang Reich, Klaus-Dieter Weltmann, Kirsten Anklam, Diana Neudeck, Tila Krueger, Norman Mleczko, Torsten Koburger, Ivonne Harfenstein
  • Publication number: 20120107520
    Abstract: Residues are removed from a surface of a substrate processing component which has a polymer coating below the residues. In one version, the component surfaces are contacted with an organic solvent to remove the residues without damaging or removing the polymer coating. The residues can be process residues or adhesive residues. The cleaning process can be conducted as part of a refurbishment process. In another version, the residues are ablated by scanning a laser across the component surface. In yet another version, the residues are vaporized by scanning a plasma cutter across the surface of the component.
    Type: Application
    Filed: January 6, 2012
    Publication date: May 3, 2012
    Inventors: Brian T. West, Karl Brueckner, Shun Wu, Robert Haney
  • Patent number: 8163094
    Abstract: A process for removing indium oxide from indium bumps in a flip-chip structure to reduce contact resistance, by a multi-step plasma treatment. A first plasma treatment of the indium bumps with an argon, methane and hydrogen plasma reduces indium oxide, and a second plasma treatment with an argon and hydrogen plasma removes residual organics. The multi-step plasma process for removing indium oxide from the indium bumps is more effective in reducing the oxide, and yet does not require the use of halogens, does not change the bump morphology, does not attack the bond pad material or under-bump metallization layers, and creates no new mechanisms for open circuits.
    Type: Grant
    Filed: July 23, 2009
    Date of Patent: April 24, 2012
    Assignee: The United States of America as represented by the Administrator of the National Aeronautics and Space Administration
    Inventors: H. Frank Greer, Todd J. Jones, Richard P. Vasquez, Michael E. Hoenk, Matthew R. Dickie, Shouleh Nikzad
  • Publication number: 20120086929
    Abstract: A lithographic projection apparatus includes a laser cleaning device. The laser cleaning device is constructed and arranged to clean a surface. The laser cleaning device includes a laser source constructed and arranged to generate radiation, and an optical element constructed and arranged to focus the radiation in a focal point in order to generate a cleaning plasma in a background gas above the surface. The laser cleaning device is further provided with a gas supply constructed and arranged to generate a jet of protection gas at a location near the plasma.
    Type: Application
    Filed: May 20, 2010
    Publication date: April 12, 2012
    Applicant: ASML NETHERLANDS B.V.
    Inventors: Nicolaas Arnoldus Lammers, Luigi Scaccabarozzi
  • Publication number: 20120085366
    Abstract: Provided is a plasma processing method capable of removing a Ti-series deposit from the surface of a processing chamber of a plasma processing apparatus without production of a foreign matter such as a boron oxide. The plasma processing method includes carbon-series deposition discharge which succeeds product etching during which a sample containing a Ti material is processed, and during which a carbon-series film is deposited on a Ti reaction by-product deposited on the surface of the processing chamber, and chlorine-series discharge which succeeds the carbon-series deposition discharge and during which the carbon-series film and Ti that are deposited on the surface of the processing chamber are removed.
    Type: Application
    Filed: January 19, 2011
    Publication date: April 12, 2012
    Inventor: Kousa HIROTA
  • Publication number: 20120080052
    Abstract: Disclosed are systems, devices and methodologies for handling wafers in wafer processing operations such as solvent and plasma cleaning. In an example situation, a wafer that has been separated from a support plate can be cleaned. The wafer still needs to be handled carefully during such a cleaning operation. Various devices and methodologies that facilitate efficient handling of wafers and wafer-cleaning operations are disclosed.
    Type: Application
    Filed: October 5, 2010
    Publication date: April 5, 2012
    Applicant: SKYWORKS SOLUTIONS, INC.
    Inventors: Steve Canale, David J. Zapp
  • Patent number: 8147647
    Abstract: The invention is directed to a method and an arrangement for cleaning optical surfaces of reflection optics which are arranged in a plasma-based radiation source or exposure device arranged downstream and contaminated by debris particles emitted by a hot plasma of the radiation source. It is the object of the invention to find a novel possibility for in-situ cleaning of the optical surfaces of reflection optics which are contaminated by debris in plasma-based radiation sources so as to allow an integrated generation of known gas radicals and the isotropic distribution thereof on the contaminated optical surfaces. According to the invention, this object is met in that the gas radicals are generated by dielectrically impeded discharge between two surface electrodes along the entire optical surface.
    Type: Grant
    Filed: May 29, 2008
    Date of Patent: April 3, 2012
    Assignee: XTREME technologies GmbH
    Inventor: Guido Schriever
  • Patent number: 8133325
    Abstract: This dry cleaning method for a plasma processing apparatus is a dry cleaning method for a plasma processing apparatus that includes: a vacuum container provided with a dielectric member; a planar electrode and a high-frequency antenna that are provided outside the dielectric member; and a high-frequency power source that supplies high-frequency power to both the high-frequency antenna and the planar electrode, to thereby introduce high-frequency power into the vacuum container via the dielectric member and produce an inductively-coupled plasma, the method comprising the steps of: introducing a gas including fluorine into the vacuum container and also introducing high-frequency power into the vacuum container from the high-frequency power source, to thereby produce an inductively-coupled plasma in the gas including fluorine; and by use of the inductively-coupled plasma, removing a product including at least one of a precious metal and a ferroelectric that is adhered to the dielectric member.
    Type: Grant
    Filed: May 28, 2008
    Date of Patent: March 13, 2012
    Assignee: ULVAC, Inc.
    Inventors: Masahisa Ueda, Yutaka Kokaze, Mitsuhiro Endou, Koukou Suu
  • Patent number: 8133324
    Abstract: The invention provides a method for the at least partial removal of one or more polymeric coating layers from a coated substrate having at least one coated surface. The method includes generating at least one reactive species in an ionized gas stream discharged at atmospheric pressure; and placing the coated surface in the ionized gas stream. The at least one reactive species reacts with the one or more polymeric coating layers such that one or more coating layers is at least partially removed from the coated surface of the substrate at atmospheric pressure.
    Type: Grant
    Filed: June 16, 2005
    Date of Patent: March 13, 2012
    Assignee: PPG Industries Ohio, Inc
    Inventors: James A. Claar, Jerome J. Cuomo, David A. Diehl, Christopher J. Oldham, Roger C. Sanwald, Truman F. Wilt, Peter J. Yancey
  • Patent number: 8129281
    Abstract: A method of cleaning a low dielectric constant film in a lithographic process includes providing a dielectric film having thereover a resist composition, the dielectric film having a dielectric constant no greater than about 4.0, and stripping the resist composition to leave a substantially silicon-containing ash residue on the dielectric film. The method then includes contacting the ash residue with plasma comprising an ionized, essentially pure noble gas such as helium to remove the resist residue without substantially affecting the underlying dielectric film.
    Type: Grant
    Filed: May 12, 2005
    Date of Patent: March 6, 2012
    Assignee: Novellus Systems, Inc.
    Inventors: David Cheung, Kirk J Ostrowski
  • Patent number: 8123969
    Abstract: A method of fabricating multilayer interconnect structures on a semiconductor wafer uses an interior surface of a metal lid that has been roughed to a surface roughness in excess of RA 2000 with a reentrant surface profile. The metal lid is installed as the ceiling of a plasma clean reactor chamber having a wafer pedestal facing the interior surface of the ceiling.
    Type: Grant
    Filed: April 9, 2009
    Date of Patent: February 28, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Karl M. Brown, John A. Pipitone, Vineet H. Mehta
  • Patent number: 8118946
    Abstract: A component from a substrate processing chamber which has plasma process residues on both its internal and external surfaces, is removed from the processing chamber, and transferred to a cleaning chamber. The component is exposed to an energized cleaning gas in the cleaning chamber, and the cleaning gas is exhausted from below the component so that the cleaning gas cleans off the residues on both the internal and external surfaces of the component. It has been determined that the cleaning gas can also repair surface defects in the component.
    Type: Grant
    Filed: November 30, 2007
    Date of Patent: February 21, 2012
    Inventor: Wesley George Lau
  • Publication number: 20120037182
    Abstract: A particle removal apparatus for removing particles from a chamber of a plasma processing apparatus, wherein the chamber is connected to a gas exhaust port and a plasma of a processing gas is generated in the chamber to plasma process a substrate to be processed, includes a particle charging control member for positively charging particles generated within the chamber by positive ions of an ion sheath region formed in a region other than the vicinity of the substrate to be processed, wherein positively charged particles are discharged from the chamber via the gas exhaust port. Therefore, there is no plasma disturbance or metal contamination, and thus can be applied to a practical use.
    Type: Application
    Filed: October 19, 2011
    Publication date: February 16, 2012
    Applicant: TOKYO ELECTON LIMITED
    Inventors: Tsuyoshi MORIYA, Hiroshi Nagaike
  • Patent number: 8114244
    Abstract: The invention provides a method for performing mass production processing of etching a sample capable of maintaining a stable processing profile.
    Type: Grant
    Filed: March 3, 2009
    Date of Patent: February 14, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Kousa Hirota, Yasuhiro Nishimori, Hiroshige Uchida
  • Publication number: 20120031426
    Abstract: In accordance with one embodiment of the present disclosure, a method for preventing corrosion of a plasma-exposed yttria-coated constituent from ambient acidic hydrolysis wherein the plasma-exposed yttria-coated constituent includes a hydrolysable acid precursor is disclosed.
    Type: Application
    Filed: August 9, 2010
    Publication date: February 9, 2012
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Ganapathy Swami, Peter Loewenhardt, Yunsang Kim
  • Publication number: 20120024817
    Abstract: An apparatus and a method for plasma surface treatment which treats a surface of a treatment portion of an electrically conductive object using ions from plasma are disclosed. The apparatus includes a connector electrically connected to the treatment portion for applying negative voltage pulses to the treatment portion; a pulse voltage generating unit electrically connected to the connector; and magnetic cores disposed at the boundary of the treatment portion for preventing electric current caused by the negative voltage pulses applied to the treatment portion from flowing across the boundary of the treatment portion is provided. The apparatus and method for plasma surface treatment can confine the treatment portion by using negative high voltage pulses and magnetic cores. Also, the apparatus and method can apply negative high voltage pulse to the treatment portion of an electrically grounded object such as a metal sheet coil and a metal wire coil.
    Type: Application
    Filed: April 12, 2010
    Publication date: February 2, 2012
    Applicant: DAWONSYS CO., LTD.
    Inventors: Sun Soon Park, Hyo Yol Liu
  • Publication number: 20120024314
    Abstract: Plasma mediated ashing processes for removing organic material from a substrate generally includes exposing the substrate to the plasma to selectively remove photoresist, implanted photoresist, polymers and/or residues from the substrate, wherein the plasma contains a ratio of active nitrogen and active oxygen that is larger than a ratio of active nitrogen and active oxygen obtainable from plasmas of gas mixtures comprising oxygen gas and nitrogen gas. The plasma exhibits high throughput while minimizing and/or preventing substrate oxidation and dopant bleaching. Plasma apparatuses are also described.
    Type: Application
    Filed: July 27, 2010
    Publication date: February 2, 2012
    Applicant: AXCELIS TECHNOLOGIES, INC.
    Inventors: SHIJIAN LUO, ORLANDO ESCORCIA, CARLO WALDFRIED