Plasma Cleaning Patents (Class 134/1.1)
  • Patent number: 8003959
    Abstract: In an ion implanter, a Faraday cup is utilized to receive an ion beam generated during ion source cleaning. The detected beam has an associated mass spectrum which indicates when the ion source cleaning process is complete. The mass spectrum results in a signal composed of a cleaning agent and the material comprising the ion source. This signal will rise over time as the ion source chamber is being cleaned and will level-off and remain constant once the deposits are etched away from the source chamber, thereby utilizing existing implant tools to determine endpoint detection during ion source cleaning.
    Type: Grant
    Filed: June 26, 2009
    Date of Patent: August 23, 2011
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Wilhelm P. Platow, Neil J. Bassom, Peter F. Kurunczi, Alexander S. Perel, Craig R. Chaney
  • Patent number: 8002947
    Abstract: A plasma treatment apparatus has a reaction vessel (11) provided with a top electrode (13) and a bottom electrode (14), and the first electrode is supplied with a VHF band high frequency power from a VHF band high frequency power source (32), while the bottom electrode on which a substrate (12) is loaded and is moved by a vertical movement mechanism. The plasma treatment system has a controller (36) which, at the time of a cleaning process after forming a film on the substrate (12), controls a vertical movement mechanism to move the bottom electrode to narrow the gap between the top electrode and bottom electrode and form a narrow space and starts cleaning by a predetermined high density plasma in that narrow space. In the cleaning process, step cleaning is performed. Due to this, the efficiency of utilization of the cleaning gas is increased, the amount of exhaust gas is cut, and the cleaning speed is raised. Further, the amount of the process gas used is cut and the process cost is reduced.
    Type: Grant
    Filed: November 3, 2008
    Date of Patent: August 23, 2011
    Assignees: Sanyo Electric Co., Ltd., Renesas Electronics Corporation, Ulvac, Inc., Hitachi Kokusai Electric, Inc., Tokyo Electron Limited, Kanto Denka Kogyo Co., Ltd., Canon Anelva Corproation, Panasonic Corporation
    Inventors: Yoichiro Numasawa, Yoshimi Watabe
  • Patent number: 7993465
    Abstract: Methods and apparatus for cleaning electrostatic chucks in processing chambers are provided. The process comprises flowing a backside gas comprising a reactive agent into a zone in a process chamber, the zone defined by a space between a surface of an electrostatic chuck or of a cleaning station and a surface of a substrate. The surface of the electrostatic chuck is etched with the reactive agent to remove debris. An apparatus for cleaning an electrostatic chuck is also provided, the apparatus comprising: a process chamber; an elongate arm having a reach disposed through a wall of the process chamber; an electrostatic chuck attached to the elongate arm; a cleaning station located within the reach of the elongate arm; and a reactive gas source that is operatively connected to the cleaning station.
    Type: Grant
    Filed: September 7, 2006
    Date of Patent: August 9, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Dean C. Jennings, Majeed Foad, Jonathon Simmons
  • Publication number: 20110174333
    Abstract: A process for surface preparation of a substrate (2), which comprises introducing or running a substrate (2) into a reaction chamber (6, 106). A dielectric barrier (14, 114) is placed between electrodes (1, 10, 110). A high-frequency electrical voltage is generated, to generate filamentary plasma (12, 112). Molecules (8, 108) are introduced into the reaction chamber (6, 106). Upon contact with the plasma, they generate active species typical of reacting with the surface of the substrate. An adjustable inductor (L) placed in parallel with the inductor of the installation is employed to reduce the phase shift between the voltage and the current generated and to increase the time during which the current flows in the plasma (12, 112).
    Type: Application
    Filed: July 16, 2009
    Publication date: July 21, 2011
    Applicant: AGC GLASS EUROPE
    Inventors: Eric Tixhon, Joseph Leclercq, Eric Michel
  • Patent number: 7981307
    Abstract: A method for etching a bevel edge of a substrate in a processing chamber is provided. The method includes flowing an inert gas into a center region of the processing chamber defined above a center region of the substrate and flowing a mixture of an inert gas and a processing gas over an edge region of the substrate. The method further includes striking a plasma in the edge region, wherein the flow of the inert gas and the flow of the mixture maintain a mass fraction of the processing gas substantially constant. A processing chamber configured to clean a bevel edge of a substrate is also provided.
    Type: Grant
    Filed: October 2, 2007
    Date of Patent: July 19, 2011
    Assignee: Lam Research Corporation
    Inventors: Jack Chen, Andrew D. Bailey, III, Iqbal Shareef
  • Publication number: 20110169119
    Abstract: Embodiments of the invention provide for fabricating a filter, for electromagnetic radiation, in at least three ways, including (1) fabricating integrated thin film filters directly on a detector; (2) fabricating a free standing thin film filter that may be used with a detector; and (3) treating an existing filter to improve the filter's properties.
    Type: Application
    Filed: January 13, 2011
    Publication date: July 14, 2011
    Applicant: CALIFORNIA INSTITUTE OF TECHNOLOGY
    Inventors: Frank Greer, Shouleh Nikzad
  • Publication number: 20110168205
    Abstract: A substrate cleaning method performing cleaning of a surface of a substrate after a pattern on the substrate is formed by plasma etching, includes: a by-product removal process removing a by-product by exposing the substrate to an HF gas atmosphere; and a residual fluorine removal process removing fluorine remaining on the substrate by turning cleaning gas containing hydrogen gas and chemical compound gas containing carbon and hydrogen as constituent elements into plasma to act on the substrate.
    Type: Application
    Filed: January 6, 2011
    Publication date: July 14, 2011
    Applicants: TOKYO ELECTRON LIMITED, KABUSHIKI KAISHA TOSHIBA
    Inventors: Shigeru TAHARA, Fumiko YAMASHITA, Eiichi NISHIMURA, Tokuhisa OHIWA, Takaya MATSUSHITA, Hiroshi TOMITA
  • Publication number: 20110162674
    Abstract: Methods for removing titanium nitride etch by-products from process chambers are provided herein. In some embodiments, a method for the removal of titanium nitride hard mask etch by-products from a process chamber includes processing a substrate having a titanium nitride hard mask. A plasma is then formed from a cleaning gas comprising a chlorine (Cl2) containing gas in the process chamber to remove at least some of the residual titanium nitride etch by-products. In some embodiments, a method for removing titanium nitride etch by-products from process chambers includes a computer readable medium, having instructions stored thereon which, when executed by a controller, causes a process chamber having a substrate comprising a titanium nitride hard mask to be processed. A plasma is then formed from a cleaning gas comprising a chlorine containing gas in the process chamber to remove the residual titanium nitride etch by-products.
    Type: Application
    Filed: September 17, 2010
    Publication date: July 7, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventors: HAIRONG TANG, ALLEN ZHAO, NANCY FUNG
  • Patent number: 7969095
    Abstract: The present invention relates to a method of and arrangement for removing contaminants from a surface of a substrate by subjecting said substrate surface to an atmospheric pressure glow plasma. Said plasma is generated in a discharge space comprising a plurality of electrodes, by applying an alternating plasma energizing voltage to said electrodes causing a plasma current and a displacement current. Said plasma is stabilised by controlling said displacement current during plasma generation such that modification of properties of said substrate surface is prevented.
    Type: Grant
    Filed: December 22, 2004
    Date of Patent: June 28, 2011
    Assignee: Fuji Photo Film B.V.
    Inventors: Hindrik Willem De Vries, Eugen Aldea, Jan Bastiaan Bouwstra, Mauritius Cornelius Maria Van De Sanden
  • Patent number: 7967913
    Abstract: A remote plasma process for removing unwanted deposition build-up from one or more interior surfaces of a substrate processing chamber after processing a substrate disposed in the substrate processing chamber. In one embodiment, the substrate is transferred out of the substrate processing chamber and a flow of a fluorine-containing etchant gas is introduced into a remote plasma source where reactive species are formed. A continuous flow of the reactive species from the remote plasmas source to the substrate processing chamber is generated while a cycle of high and low pressure clean steps is repeated. During the high pressure clean step, reactive species are flown into the substrate processing chamber while pressure within the substrate processing chamber is maintained between 4-15 Torr.
    Type: Grant
    Filed: July 23, 2009
    Date of Patent: June 28, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Zhong Qiang Hua, Sanjay Kamath, Young S. Lee, Ellie Y. Yieh, Hien-Minh Huu Le, Anjana M. Patel, Sudhir R. Gondhalekar
  • Publication number: 20110146704
    Abstract: A method for cleaning metallic contaminants from an upper electrode used in a plasma chamber. The method comprises a step of soaking the upper electrode in a cleaning solution of concentrated ammonium hydroxide, hydrogen peroxide and water. The cleaning solution is free of hydrofluoric acid and hydrochloric acid. The method further comprises an optional step of soaking the upper electrode in dilute nitric acid and rinsing the cleaned upper electrode.
    Type: Application
    Filed: December 7, 2010
    Publication date: June 23, 2011
    Applicant: Lam Research Corporation
    Inventors: Hong Shih, Armen Avoyan, Shashank C. Deshmukh, David Carman
  • Publication number: 20110146703
    Abstract: A method and apparatus for processing a bevel edge is provided. A substrate is placed in a bevel processing chamber and a passivation layer is formed on the substrate only around a bevel region of the substrate using a passivation plasma confined in a peripheral region of the bevel processing chamber. The substrate may undergo a subsequent semiconductor process, during which the bevel edge region of the substrate is protected by the passivation layer. Alternatively, the passivation layer may be patterned using a patterning plasma formed in an outer peripheral region of the processing chamber, the patterning plasma being confined by increasing plasma confinement. The passivation layer on outer edge portion of the bevel region is removed, while the passivation layer on an inner portion of the bevel region is maintained. The bevel edge of the substrate may be cleaned using the patterned passivation layer as a protective mask.
    Type: Application
    Filed: December 17, 2009
    Publication date: June 23, 2011
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Jack CHEN, Yunsang KIM
  • Patent number: 7964039
    Abstract: An improved reaction chamber cleaning process is provided for removing water residues that makes use of noble-gas plasma reactions. The method is easy applicable and may be combined with standard cleaning procedure. A noble-gas plasma (e.g. He) that emits high energy EUV photons (E>20 eV) which is able to destruct water molecules to form electronically excited oxygen atoms is used to remove the adsorbed water.
    Type: Grant
    Filed: September 5, 2008
    Date of Patent: June 21, 2011
    Assignees: IMEC, Katholieke Universiteit Leuven K.U. Leuven R&D
    Inventors: Adam Michal Urbanowicz, Mikhaïl Baklanov, Denis Shamiryan, Stefan De Gendt
  • Patent number: 7964040
    Abstract: An exhaust foreline for purging fluids from a semiconductor fabrication chamber is described. The foreline may include a first, second and third ports independently coupled to the chamber. A semiconductor fabrication system is also described that includes a substrate chamber that has a first, second and third interface port. The system may also include a multi-port foreline that has a first, second and third port, where the first foreline port is coupled to the first interface port, the second foreline port is coupled to the second interface port, and the third foreline port is coupled to the third interface port. The system may further include an exhaust vacuum coupled to the multi-port foreline.
    Type: Grant
    Filed: November 5, 2008
    Date of Patent: June 21, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Muhammad M. Rasheed, Dmitry Lubomirsky, James Santosa
  • Publication number: 20110139175
    Abstract: Improved methods and apparatus for stripping photoresist and removing ion implant related residues from a work piece surface are provided. According to various embodiments, the workpiece is exposed to a passivation plasma, allowed to cool for a period of time, and then exposed to an oxygen-based or hydrogen-based plasma to remove the photoresist and ion implant related residues. Aspects of the invention include reducing silicon loss, leaving little or no residue while maintaining an acceptable strip rate. In certain embodiments, methods and apparatus remove photoresist material after high-dose ion implantation processes.
    Type: Application
    Filed: December 8, 2010
    Publication date: June 16, 2011
    Inventors: David Cheung, Haoquan Fang, Jack Kuo, Ilia Kalinovski, Ted Li, Andrew Yao
  • Patent number: 7959737
    Abstract: A method for using a film formation apparatus for a semiconductor process includes a first cleaning process of removing by a first cleaning gas a by-product film from an inner surface of a reaction chamber of the film formation apparatus, while supplying the first cleaning gas into the reaction chamber, and setting an interior of the reaction chamber at a first temperature and a first pressure to activate the first cleaning gas. The method further includes a second cleaning process of then removing by a second cleaning gas a contaminant from the inner surface of the reaction chamber, while supplying the second cleaning gas into the reaction chamber, and setting the interior of the reaction chamber at a second temperature and a second pressure to activate the second cleaning gas. The second cleaning gas includes a chlorine-containing gas.
    Type: Grant
    Filed: October 2, 2007
    Date of Patent: June 14, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Mitsuhiro Okada, Satoshi Mizunaga, Yamato Tonegawa, Toshiharu Nishimura
  • Publication number: 20110132395
    Abstract: A method of forming a plasma to physicochemically modify properties of a fluid spray in a substrate treatment processes includes providing an applicator in proximity to the substrate. The applicator comprises an electrically insulated main body portion containing a cavity, a tube axially positioned within the cavity for transporting a first fluid, an annular electric-field generator positioned within the cavity between the main body portion and the tube, a region between the tube and the generator for transporting a second fluid, and a nozzle connected to the main body portion for mixing the first fluid with the second fluid to form the fluid spray. The tube, the nozzle or the substrate are selectively grounded. Upon activating the electric-field generator, plasma is formed within the tube or about the region between the tube and the generator when the tube is grounded, within the nozzle when the nozzle is grounded or between the nozzle and the substrate when the substrate is grounded.
    Type: Application
    Filed: January 28, 2011
    Publication date: June 9, 2011
    Inventor: David P. Jackson
  • Publication number: 20110133284
    Abstract: A wafer-scale multiple carbon nanotube transfer process is provided. According to one embodiment of the invention, plasma exposure processes are performed at various stages of the fabrication process of a carbon nanotube device or article to improve feasibility and yield for successive transfers of nanotubes. In one such carbon nanotube transfer process, a carrier material is partially etched by a plasma process before removing the carrier material through, for example, a wet etch. By applying the subject plasma exposure processes, fabrication of ultra-high-density nanotubes and ultra-high-density nanotube grids or fabrics is facilitated. The ultra-high-density nanotubes and ultra-high-density nanotube grids or fabrics fabricated utilizing embodiments of the invention can be used, for example, to make high-performance carbon nanotube field effect transistors (CNFETs) and low cost, highly-transparent, and low-resistivity electrodes for solar cell and flat panel display applications.
    Type: Application
    Filed: March 5, 2010
    Publication date: June 9, 2011
    Inventors: SUBHASISH MITRA, Nishant P. Patil, Chung Chun Wan, H.-S. Philip Wong
  • Patent number: 7955514
    Abstract: A plasma processing apparatus having a processing chamber and a sample base, and processing a sample by using plasma generated inside the processing chamber, the processing chamber being located inside a vacuum container, the sample base being located inside the processing chamber, the sample being mounted on the sample base, the plasma processing apparatus including a component member configuring inner-side wall surface of the processing chamber, and having a dielectric portion on the inner-side wall surface, an exhaustion unit for exhausting the inside of the processing chamber, and an electric-field supply unit for supplying an electric field to the component member in a state where the plasma will not be generated inside the processing chamber, wherein magnitude of the electric field supplied from the electric-field supply unit is changed rapidly while exhausting the inside of the processing chamber by the exhaustion unit.
    Type: Grant
    Filed: February 28, 2007
    Date of Patent: June 7, 2011
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Kazue Takahashi, Hitoshi Tamura, Motohiro Tanaka, Motohiko Yoshigai
  • Publication number: 20110126852
    Abstract: A substrate support for a plasma processing chamber has an angled sidewall at an upper periphery thereof. The substrate is surrounded by an edge ring which underlies a substrate supported on an upper substrate support surface of the substrate support during plasma processing. The angled sidewall is the only surface of the substrate support exposed and subject to byproduct deposition during plasma processing. The angled sidewall enhances sputtering rate of the byproduct deposition during an in situ chamber clean process wherein a cleaning gas supplied to the chamber is energized into a plasma state for cleaning the byproduct deposition.
    Type: Application
    Filed: November 30, 2010
    Publication date: June 2, 2011
    Applicant: Lam Research Corporation
    Inventors: Rajinder Dhindsa, Pratik Mankidy, Chris Kimball
  • Publication number: 20110126853
    Abstract: A plasma processing apparatus includes a processing chamber, in which a wafer W is plasma-processed, and a CPU controlling an operation of each component. A processing gas is introduced into the processing chamber under a first condition defined by a flow rate and a molecular weight of the processing gas, specifically based on a magnitude of a product A1 (=Q1×m1) of the flow rate Q1 and the molecular weight m1 of the processing gas, and a surface of the wafer W is physically or chemically etched. And then, a pre-purge gas which may be identical to or different from the processing gas is introduced into the processing chamber through a shower head under a second condition derived from the first condition.
    Type: Application
    Filed: December 3, 2010
    Publication date: June 2, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Tsuyoshi MORIYA, Hiroyuki NAKAYAMA, Hiroshi NAGAIKE
  • Publication number: 20110120495
    Abstract: The invention provides a plasma processing method capable of reducing particle caused by flinging up of particles by airflow due to the pressure fluctuation in the processing chamber during the time the sample is carried into the processing chamber, subjected to plasma processing and carried out of the processing chamber.
    Type: Application
    Filed: February 1, 2011
    Publication date: May 26, 2011
    Inventors: Masunori ISHIHARA, Masamichi Sakaguchi, Yasuhiro Nishimori, Yutaka Kudou, Satoshi Une
  • Patent number: 7947129
    Abstract: An ion source apparatus includes a rare gas supply source supplying rare gas instead of ion source gas to a plasma chamber, means to determine time and timing for cleaning electrodes in consideration of a collecting amount of insulation layers accreting to the electrodes of an extraction electrode system. Based on the above, the ion source apparatus removes the insulation layers by sputtering with ion beam of the rare gas while adjusting extraction or accelerate voltage and supply amount of the rare gas as a setting parameter. Moreover, by adjusting the setting parameter which changes a diameter of ion beam based on the rare gas when the ion beam collides onto each electrode surface of the extraction electrode system, the beam diameter is focused within an effective range in which intension of the sputtering of the insulation layers is maximized thus evenly removing the insulation layers.
    Type: Grant
    Filed: June 4, 2004
    Date of Patent: May 24, 2011
    Assignee: SEN Corporation, an SHI and Axcelis Company
    Inventors: Hirohiko Murata, Masateru Sato
  • Publication number: 20110114114
    Abstract: A dry cleaning method of an apparatus for depositing a carbon-containing film is provided. The method includes in-situ cleaning an inside of a reactor of the apparatus, wherein the cleaning of the inside of the reactor of the apparatus comprises supplying a cleaning gas including halogens with being activated by using a remote plasma generator to the reactor and simultaneously supplying a carbon-removing gas without being activated to the reactor. In the method, a by-product in a solid form is not generated, and in-situ cleaning can be performed without stopping the apparatus for depositing a carbon-containing film after a predetermined amount of wafers are treated, such that productivity of the apparatus for depositing a carbon-containing film can be maximized.
    Type: Application
    Filed: July 14, 2008
    Publication date: May 19, 2011
    Applicant: IPS Ltd.
    Inventors: Dong-Ho You, Jung-Work Lee
  • Publication number: 20110117728
    Abstract: A method and apparatus for removing deposition products from internal surfaces of a processing chamber, and for preventing or slowing growth of such deposition products. A halogen containing gas is provided to the chamber to etch away deposition products. A halogen scavenging gas is provided to the chamber to remove any residual halogen. The halogen scavenging gas is generally activated by exposure to electromagnetic energy, either inside the processing chamber by thermal energy, or in a remote chamber by electric field, UV, or microwave. A deposition precursor may be added to the halogen scavenging gas to form a deposition resistant film on the internal surfaces of the chamber. Additionally, or alternately, a deposition resistant film may be formed by sputtering a deposition resistant metal onto internal components of the processing chamber in a PVD process.
    Type: Application
    Filed: August 26, 2010
    Publication date: May 19, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Jie Su, Lori D. Washington, Sandeep Nijhawan, Olga Kryliouk, Jacob Grayson, Sang Won Kang, Dong Hyung Lee, Hua Chung
  • Publication number: 20110114113
    Abstract: There is provided a cleaning method for a substrate processing apparatus capable of improving a removing rate of a deposit without increasing a self-bias voltage. The cleaning method includes supplying, to clean the inside of a processing chamber 102 under preset processing conditions, a processing gas including an O2 gas and an inert gas into the processing chamber at a preset flow rate ratio of the processing gas; and generating plasma of the processing gas by applying a high frequency power between a lower electrode 111 and a upper electrode 120. Here, the preset flow rate ratio of the processing gas is set depending on a self-bias voltage of the lower electrode 111 such that a flow rate ratio of the O2 gas is reduced while a flow rate ratio of the Ar gas is increased as an absolute value of the self-bias voltage decreases.
    Type: Application
    Filed: November 17, 2010
    Publication date: May 19, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Masanobu Honda, Takahiro Murakami, Takanori Mimura, Hidetoshi Hanaoka
  • Publication number: 20110108059
    Abstract: The plasma reactor defines a reaction chamber provided with a support for the metallic pieces and an anode-cathode system, and a heating means is mounted externally to said plasma reactor. The plasma process, for a cleaning operation, includes the steps of connecting the support to the grounded anode and the cathode to a negative potential of a power source; feeding an ionizable gaseous charge into the reaction chamber and heating the latter at vaporization temperatures of piece contaminants; applying an electrical discharge to the cathode; and providing the exhaustion of the gaseous charge and contaminants. A subsequent heat treatment includes the steps of: inverting the energization polarity of the anode-cathode system; feeding a new gaseous charge to the reaction chamber and maintaining it heated; applying an electrical discharge to the cathode; and exhausting the gaseous charge from the reaction chamber.
    Type: Application
    Filed: June 9, 2009
    Publication date: May 12, 2011
    Inventors: Roberto Binder, Aloisio Klein, Cristiano Binder, Gisele Hammes
  • Publication number: 20110108058
    Abstract: Some techniques disclosed herein facilitate cleaning residue from a molecular beam component. For example, in an exemplary method, a molecular beam is provided along a beam path, causing residue build up on the molecular beam component. To reduce the residue, the molecular beam component is exposed to a hydro-fluorocarbon plasma. Exposure to the hydro-fluorocarbon plasma is ended based on whether a first predetermined condition is met, the first predetermined condition indicative of an extent of removal of the residue. Other methods and systems are also disclosed.
    Type: Application
    Filed: November 11, 2009
    Publication date: May 12, 2011
    Applicant: Axcelis Technologies, Inc.
    Inventors: Aseem K. Srivastava, William F. DiVergilio, Glen R. Gilchrist
  • Patent number: 7938976
    Abstract: A method for removing undesirable contaminants from a chip passivation layer surface without creating SiO2 particles on the passivation layer, wherein the undesirable contaminants include graphitic layers and fluorinated layers. The use of N2 plasma with optimized plasma parameters can remove through etching both the graphitic and fluorinated organic layers. The best condition for the N2 plasma treatment is to use a relatively low-power within the range of 100-200 W and a relatively high vacuum pressure of N2 in the range of 500-750 mTorr.
    Type: Grant
    Filed: February 27, 2007
    Date of Patent: May 10, 2011
    Assignee: International Business Machines Corporation
    Inventor: Kang-Wook Lee
  • Patent number: 7931752
    Abstract: A method for cleaning a semiconductor equipment is provided. First, a first cleaning step is performed to the process chamber. The first cleaning step includes conducting a cleaning gas into the process chamber via a short processing gas injector for generating a plasma of the cleaning gas in the process chamber. Then, a cleaning step is performed to a long cleaning gas injector. The cleaning step performed to the long cleaning gas injector includes conducting the cleaning gas into the process chamber via the long processing gas injector. Then, a second cleaning step is performed to the process chamber. The second cleaning step includes conducting the plasma of the cleaning gas into the process chamber via the short processing gas injector.
    Type: Grant
    Filed: January 6, 2009
    Date of Patent: April 26, 2011
    Assignee: United Microelectronics Corp.
    Inventors: Chong-Tat Lee, Jui-Lin Tang, Chee-Thim Loh, Kok-Poh Chong
  • Publication number: 20110088718
    Abstract: Methods of cleaning a process chamber used to fabricate electronics components are described. The methods may include the step of providing a cleaning gas mixture to the process chamber, where the cleaning gas mixture may include a fluorine-containing precursor, and where the cleaning gas mixture removes contaminants from interior surfaces of the processing chamber that are exposed to the cleaning gas mixture. The methods may also include the steps of removing the reaction products of the cleaning gas mixture from the process chamber, and providing a substrate to the process chamber following the evacuation of the reaction products from the process chamber. The cleaning gas mixture may include one or more hydrofluoronated ethers, and the contaminants may include one or more tin-containing contaminants.
    Type: Application
    Filed: October 14, 2010
    Publication date: April 21, 2011
    Applicant: Matheson Tri-Gas, Inc.
    Inventors: Robert Torres, JR., Carrie L. Wyse
  • Publication number: 20110083697
    Abstract: An apparatus used for rapid removal of polymer films from plasma confinement rings while minimizing erosion of other plasma etch chamber components is disclosed. The apparatus includes a center assembly, an electrode plate, a confinement ring stack, a first plasma source, and a second plasma source. The electrode plate is affixed to a surface of the center assembly with a channel defined along the external circumference therein. A first plasma source is disposed within the channel and along the external circumference of the center assembly, wherein the first plasma source is configured to direct a plasma to the inner circumferential surface of the confinement ring stack. A second plasma source located away from the first plasma source is configured to perform processing operations on a substrate within the etch chamber.
    Type: Application
    Filed: December 16, 2010
    Publication date: April 14, 2011
    Inventors: Eric Hudson, Andreas Fischer
  • Publication number: 20110083696
    Abstract: An apparatus and method for cleaning the surface of a substrate using laser-induced plasma shockwaves and ultraviolet radiation is described. After defects such as organic, inorganic and metallic particles are detected during an inspection step, the substrate is mounted on a motorized stage inside a cleaning chamber. A laser beam is focused into a laser-cleaning nozzle within the chamber. The laser energy generates a laser-induced plasma shockwave inside the nozzle. The shockwave is amplified and exits the nozzle generating the necessary force to overcome the adhesion bond of the defects with the substrate. Coordinating defect locations from the preliminary inspection step the substrate is actively positioned only where defects are present for selective removal.
    Type: Application
    Filed: October 1, 2010
    Publication date: April 14, 2011
    Inventors: Waleed Nasr, Khaled Nasr
  • Publication number: 20110079242
    Abstract: Methods and apparatus for cleaning impurities, such as oxides, from wire stands using a plasma gas.
    Type: Application
    Filed: October 7, 2009
    Publication date: April 7, 2011
    Inventor: Werner REISS
  • Publication number: 20110079241
    Abstract: This invention relates in part to a method for cleaning an ion source component of an ion implanter used in semiconductor and microelectronic manufacturing. The ion source component includes an ionization chamber and one or more components contained within the ionization chamber. The interior of the ionization chamber and/or the one or more components contained within the ionization chamber have at least some deposits thereon of elements contained within a dopant gas, e.g., carborane (C2B10H12). The method involves introducing a cleaning gas into the ionization chamber, and reacting the cleaning gas with the deposits under conditions sufficient to remove at least a portion of the deposits from the interior of the ionization chamber and/or from the one or more components contained within the ionization chamber.
    Type: Application
    Filed: October 1, 2009
    Publication date: April 7, 2011
    Inventors: Ashwini Sinha, Serge Marius Campeau, Lloyd Anthony Brown
  • Publication number: 20110070741
    Abstract: Method of cleaning a plasma etching apparatus capable of suppressing variation in line width among wafers in a single lot, and improving throughput in the cleaning process, includes steps of supplying a cleaning gas into a chamber of a plasma etching apparatus; igniting a plasma of the cleaning gas in the chamber; and allowing plasma cleaning to proceed in the chamber, by bringing the cleaning gas in plasma form into contact with a deposit adhered on the inner wall of the chamber so as to etch off the deposit, wherein in the step of plasma cleaning in the chamber, intensity of plasma emission ascribable to the deposit adhered on the inner wall of the chamber is detected in a time-dependent manner, and the plasma cleaning in the chamber is terminated based on changes in the intensity of the plasma emission.
    Type: Application
    Filed: December 1, 2010
    Publication date: March 24, 2011
    Applicant: NEC ELECTRONICS CORPORATION
    Inventor: Tomoo NAKAYAMA
  • Patent number: 7909933
    Abstract: The invention provides a plasma processing method capable of reducing particle caused by flinging up of particles by airflow due to the pressure fluctuation in the processing chamber during the time the sample is carried into the processing chamber, subjected to plasma processing and carried out of the processing chamber.
    Type: Grant
    Filed: May 8, 2009
    Date of Patent: March 22, 2011
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Masunori Ishihara, Masamichi Sakaguchi, Yasuhiro Nishimori, Yutaka Kudou, Satoshi Une
  • Patent number: 7910489
    Abstract: A method for etching features into an etch layer disposed below a photoresist mask without an intermediate hardmask is provided. A plurality of etch cycles are provided. Each etch cycle comprises providing a deposition etch phase that etches features into the etch layer and deposits polymer on sidewalls of the features and over the photoresist and providing a cleaning phase that removes polymer deposited on the sidewalls.
    Type: Grant
    Filed: February 17, 2006
    Date of Patent: March 22, 2011
    Assignee: Lam Research Corporation
    Inventors: Ji Soo Kim, Peter Cirigliano, Sangheon Lee, Dongho Heo, Daehan Choi, S. M. Reza Sadjadi
  • Publication number: 20110061679
    Abstract: A method for removing ion implanted photoresist from a surface of a substrate is provided. The method may include introducing a gas to a reaction chamber containing the substrate; illuminating the ion implanted photoresist with radiation from a laser in the presence of the gas; and scanning the radiation across the surface in the presence of the gas to photoreactively remove the ion implanted photoresist from the surface.
    Type: Application
    Filed: June 21, 2010
    Publication date: March 17, 2011
    Applicant: UVTech Systems, Inc.
    Inventors: David J. Elliott, Ronald P. Millman, JR., Victoria M. Chaplick, Murray Tardif, Krista Aiello, Kenneth J. Harte
  • Publication number: 20110056514
    Abstract: A workpiece de-chucking device of a plasma reactor for dry-cleaning the inside of a reaction chamber and an ElectroStatic chuck (ESC) during workpiece de-chucking and a workpiece de-chucking method using the same are provided. The workpiece de-chucking device includes a lifting unit, an ICP source power unit, and a controller. The lifting unit lifts a workpiece mounted on a top surface of an ESC. The ICP source power unit forms a magnetic field in an inductive coil arranged outside a dielectric window. The controller outputs a source power control signal, a lift control signal, and a de-chucking control signal.
    Type: Application
    Filed: August 11, 2010
    Publication date: March 10, 2011
    Inventors: Byoungil LEE, Hyeokjin Jang, Sungyong Ko, Minshik Kim
  • Publication number: 20110056513
    Abstract: The invention relates to a method for treating, particularly cleaning, modifying, and/or activating surfaces, using UV/VUV irradiation of a UV/VUV lamp and additional gas discharge. A dielectric barrier discharge lamp (1) is preferably used as the UV/VUV lamp, comprising a planar window segment (7) for emitting the UV/VUV radiation. The lamp (1) extends into a process chamber (17). The additional gas discharge is generated in the region of the outer side of the window segment (7) of the lamp (1). The substrate to be treated is disposed within the process chamber (17), near the window segment (7).
    Type: Application
    Filed: June 5, 2008
    Publication date: March 10, 2011
    Inventors: Axel Hombach, Siegmar Rudakowski
  • Publication number: 20110056515
    Abstract: Methods of cleaning a processing chamber with nitrogen trifluoride (NF3) are described. The methods involve a concurrent introduction of nitrogen trifluoride and a reactive diluent into the chamber. The NF3 may be excited in a plasma inside the chamber or in a remote plasma region upstream from the chamber. The reactive diluent may be introduced upstream or downstream of the remote plasma such that both NF3 and the reactive diluent (and any plasma-generated effluents) are present in the chamber during cleaning. The presence of the reactive diluent enhances the chamber-cleaning effectiveness of the NF3.
    Type: Application
    Filed: September 9, 2010
    Publication date: March 10, 2011
    Applicant: Matheson Tri-Gas, Inc.
    Inventors: Glenn Mitchell, Robert Torres, JR., Adam Seymour
  • Patent number: 7901540
    Abstract: The present invention generally relates to a method and apparatus to produce and apply a variety of surface cleaning and modification spray treatments. More specifically, the present invention provides the simultaneous steps of selectively removing one or more unwanted surface contaminants, including extremely hard coatings, exposing a native clean surface layer and modifying said exposed and cleaned native substrate surface layer to energetic radicals and radiation to improve adhesion, wettability or coatability. Reactive species in combination with non-reactive, but chemically or physically active, species provide a reaction control and surface treatment environment by which contaminants and surface interlayers are oxidatively, physically and/or chemically removed or modified to prepare an underlying substrate surface for subsequent bonding, deposition, coating and curing operations. Substrates treated in accordance with the present invention have cleaner and higher surface free energy surfaces.
    Type: Grant
    Filed: August 18, 2006
    Date of Patent: March 8, 2011
    Inventor: David P. Jackson
  • Publication number: 20110049091
    Abstract: A method of photoresist removal with concomitant de-veiling is provided. The method employs a plasma formed from a gas chemistry comprising O2, NH3 and a fluorine-containing gas, such as CF4. The method is particularly suitable for use in MEMS fabrication processes, such as inkjet printhead fabrication.
    Type: Application
    Filed: August 25, 2009
    Publication date: March 3, 2011
    Inventors: Yao Fu, Yi-Wen Tsai, Darrell LaRue McReynolds, David Secker, Valerie Bordelanne, Witold Wiscniewski
  • Publication number: 20110048453
    Abstract: Provided is a chamber cleaning method capable of efficiently removing a CF-based shoulder deposit containing Si and Al deposited on an outer periphery of an ESC. A mixed gas of an O2 gas and a F containing gas is supplied toward an outer periphery 24a of an ESC 24 at a pressure ranging from about 400 mTorr to about 800 mTorr; plasma generated from the mixed gas is irradiated onto the outer periphery 24a of the ESC 24; an O2 single gas as a mask gas is supplied to the top surface of ESC 24 except the outer periphery 24a; and the shoulder deposit 50 adhered to the outer periphery 24a is decomposed and removed while preventing the top surface of ESC 24 except the outer periphery 24a from being exposed to a F radical.
    Type: Application
    Filed: September 1, 2010
    Publication date: March 3, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Masanobu Honda, Hidetoshi Hanaoka, Taichi Hirano, Takanori Mimura, Manabu Iwata, Taketoshi Okajo
  • Publication number: 20110052833
    Abstract: During a deposition process, material may deposit not only on the substrate, but also on other chamber components. In a MOCVD chamber, one of those components is the gas distribution showerhead. The showerhead may be cleaned by bombarding the showerhead with radicals generated by a plasma that includes an inert gas and chlorine. In order to generate the plasma, the showerhead may be negatively biased or floating relative to the substrate support. The showerhead may comprise stainless steel and be coated with a ceramic coating.
    Type: Application
    Filed: August 27, 2010
    Publication date: March 3, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventors: HIROJI HANAWA, Kyawwin Maung, Hua Chung
  • Publication number: 20110041873
    Abstract: We have a method of improving the deposition rate uniformity of the chemical vapor deposition (CVD) of films when a number of substrates are processed in series, sequentially in a deposition chamber. The method includes the plasma pre-heating of at least one processing volume structure within the processing volume which surrounds the substrate when the substrate is present in the deposition chamber. We also have a device-controlled method which adjusts the deposition time for a few substrates at the beginning of the processing of a number of substrates in series, sequentially in a deposition chamber, so that the deposited film thickness remains essentially constant during processing of the series of substrates. A combination of these methods into a single method provides the best overall results in terms of controlling average film thickness from substrate to substrate.
    Type: Application
    Filed: October 28, 2010
    Publication date: February 24, 2011
    Inventors: Gaku Furuta, Tae Kyung Won, John M. White
  • Publication number: 20110041872
    Abstract: A system and method for performing rapid chamber cleaning is described. The use of F2 as the source gas for an RPS to form fluorine radicals used in the chamber cleaning operation allows chamber cleaning to proceed at an initial rapid rate without requiring ramp up of the cleaning gas flow. This results in more rapid cleaning and significantly shorter cleaning cycles. This is useful in semiconductor manufacturing, particular, for flat panel displays and solar photo voltaic devices.
    Type: Application
    Filed: February 19, 2009
    Publication date: February 24, 2011
    Inventors: Richard Allen Hogle, Paul Alan Stockman, Partick Helly
  • Patent number: 7892361
    Abstract: An in-chamber member to use in the chamber of a plasma processing vessel has a coating film formed by a coating agent. The in-chamber member having deposits formed on the coating film is separated from the chamber and is immersed into a peeling solvent, e.g., acetone. Since the coating agent is made of a resist formed of a main component of, e.g., cyclized rubber-bisazide and a photosensitive component, the deposits can be separated from the in-chamber member together with the coating film being separated.
    Type: Grant
    Filed: April 2, 2008
    Date of Patent: February 22, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Nobuyuki Nagayama, Kouji Mitsuhashi, Hiroyuki Nakayama
  • Patent number: 7888662
    Abstract: In a cleaning process for an ion source chamber, an electrode positioned outside of the ion source chamber includes a suppression plug. When the cleaning gas is introduced intothe source chamber, the suppression plug may engage an extraction aperture of the source chamber to adjust the gas pressure within the chamber to enhance chamber cleaning via. plasma-enhanced chemical reaction. The gas conductance between the source chamber aperture and the suppression plug can be adjusted during the cleaning process to provide optimum cleaning conditions and to exhaust unwanted deposits.
    Type: Grant
    Filed: June 20, 2008
    Date of Patent: February 15, 2011
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Costel Biloiu, Craig R. Chaney, Eric R. Cobb, Bon-Woong Koo, Wilhelm P. Platow