Plasma Cleaning Patents (Class 134/1.1)
  • Publication number: 20120024314
    Abstract: Plasma mediated ashing processes for removing organic material from a substrate generally includes exposing the substrate to the plasma to selectively remove photoresist, implanted photoresist, polymers and/or residues from the substrate, wherein the plasma contains a ratio of active nitrogen and active oxygen that is larger than a ratio of active nitrogen and active oxygen obtainable from plasmas of gas mixtures comprising oxygen gas and nitrogen gas. The plasma exhibits high throughput while minimizing and/or preventing substrate oxidation and dopant bleaching. Plasma apparatuses are also described.
    Type: Application
    Filed: July 27, 2010
    Publication date: February 2, 2012
    Applicant: AXCELIS TECHNOLOGIES, INC.
    Inventors: SHIJIAN LUO, ORLANDO ESCORCIA, CARLO WALDFRIED
  • Publication number: 20120017934
    Abstract: Disclosed is a liquid chemical for forming a water-repellent protecting film at least on a surface of a recessed portion of an uneven pattern at the time of cleaning a wafer having a finely uneven pattern at its surface and containing silicon at least a part of the uneven pattern. This liquid chemical contains a silicon compound A represented by the general formula: R1aSi(H)bX4-a-b and an acid A, the acid A being at least one selected from the group consisting of trimethylsilyl trifluoroactate, trimethylsilyl trifluoromethanesulfonate, dimethylsilyl trifluoroactate, dimethylsilyl trifluoromethanesulfonate, butyldimethylsilyl trifluoroactate, butyldimethylsilyl trifluoromethanesulfonate, hexyldimethylsilyl trifluoroacetate, hexyldimethylsilyl trifluoromethanesulfonate, octyldimethylsilyl trifluoroactate, octyldimethylsilyl trifluoromethanesulfonate, decyldimethylsilyl trifluoroacetate and decyldimethylsilyl trifluoromethanesulfonate.
    Type: Application
    Filed: October 5, 2011
    Publication date: January 26, 2012
    Applicant: Central Glass Company, Limited
    Inventors: Soichi KUMON, Takashi Saio, Shinobu Arata, Masanori Saito, Atsushi Ryokawa, Shuhei Yamada, Hidehisa Nanai, Yoshinori Akamatsu
  • Patent number: 8101025
    Abstract: A method for controlling corrosion of a substrate is provided herein. In one embodiment, a method for controlling corrosion of a substrate includes the steps of providing a substrate having a patterned photoresist layer with a metallic residue disposed thereon; exposing the substrate to a hydrogen-based plasma to remove the metallic residue; and removing the photoresist. The metallic residue may comprise residue from etching at least one of aluminum or copper. The metallic residue may further comprise a halogen compound from etching a metal-containing layer with a halogen-based process gas. The hydrogen-based plasma may comprise hydrogen (H2) and may further comprise at least one of nitrogen (N2) and water (H2O) vapor. The hydrogen-based plasma may further comprise an inert gas, such as argon (Ar).
    Type: Grant
    Filed: February 27, 2006
    Date of Patent: January 24, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Eu Jin Lim, Chungdee Pong, Changhun Lee, Mark Kawaguchi, Guowen Ding
  • Patent number: 8097088
    Abstract: Methods for processing substrates in dual chamber processing systems comprising first and second process chambers that share resources may include performing a first internal chamber clean in each of the first process chamber and the second process chamber; and subsequently processing a substrate in one of the first process chamber or the second process chamber by: providing a substrate to one of the first process chamber or the second process chamber; providing a process gas to the first process chamber and the second process chamber; forming a plasma in only the one of the first process chamber or the second process chamber having the substrate contained therein; and providing an inert gas to the first process chamber and the second process chamber via one or more channels formed in a surface of respective substrate supports disposed in the first process chamber and the second process chamber while processing the substrate.
    Type: Grant
    Filed: April 18, 2011
    Date of Patent: January 17, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Eu Jin Lim, Adauto Diaz, Jr., Benjamin Schwarz, James P. Cruse, Charles Hardy
  • Patent number: 8097087
    Abstract: A method of cleaning a support plate according to which, while no waste solution is produced after cleaning the support plate, the support plate can be treated at low cost. The method of cleaning the support plate includes the step of removing an organic substance adhered to the support plate by putting the support plate in contact with oxygen plasma.
    Type: Grant
    Filed: July 16, 2010
    Date of Patent: January 17, 2012
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Tatsuhiro Mitake, Atsushi Miyanari, Yoshihiro Inao
  • Publication number: 20120006351
    Abstract: A cleaning method for cleaning a semiconductor manufacturing apparatus may include generating plasma from a cleaning gas. The semiconductor manufacturing apparatus may be cleaned with the plasma. A positive direct-current voltage may be applied to an ESC of the semiconductor manufacturing apparatus during a cleaning of the semiconductor manufacturing apparatus. A negative direct-current voltage may be applied to the ESC during the cleaning of the semiconductor manufacturing apparatus. Also, a wall of the process chamber may be cleaned by applying the positive direct-current voltage to the ESC.
    Type: Application
    Filed: July 6, 2011
    Publication date: January 12, 2012
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Hyun-Su Jun, Hun-Jung Yi, Sang-jean Jeon, Se-Yeon Kim, In-Joong Kim
  • Publication number: 20120009354
    Abstract: A method for treating a surface of a glass substrate according to the invention has the steps of placing the glass substrate into a vacuum treatment chamber, introducing a gas into the vacuum treatment chamber, providing electric power to generate an ion source and using the ion source to treat the surface of the glass substrate. By this way, the invention can achieve an effect of surface cleaning and further render the conductive film to be coated on the glass substrate in the subsequent stage to have a reduced surface resistance, thereby improving the conductivity of the glass substrate. The film coated on the glass substrate in the subsequent stage will have higher crystalline level as well.
    Type: Application
    Filed: July 8, 2010
    Publication date: January 12, 2012
    Inventors: CHIEN-MIN WENG, Shih-Liang Chou, Tzu-Wen Chu, Fu-Jen Wang
  • Patent number: 8093154
    Abstract: In one embodiment of the invention, a method for finishing or treating a silicon-containing surface is provided which includes removing contaminants and/or smoothing the surface contained on the surface by a slow etch process (e.g., about <100 ?/min). The silicon-containing surface is exposed to an etching gas that contains an etchant and a silicon source. Preferably, the etchant is chlorine gas so that a relatively low temperature (e.g., <800° C.) is used during the process. In another embodiment, a method for etching a silicon-containing surface during a fast etch process (e.g., about >100 ?/min) is provided which includes removing silicon-containing material to form a recess in a source/drain (S/D) area on the substrate. In another embodiment, a method for cleaning a process chamber is provided which includes exposing the interior surfaces with a chamber clean gas that contains an etchant and a silicon source.
    Type: Grant
    Filed: October 3, 2005
    Date of Patent: January 10, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Ali Zojaji, Arkadii V. Samoilov
  • Publication number: 20110308544
    Abstract: The present invention provides a manufacturing method of a multilayer film, a manufacturing method of a magnetoresistance effect device, and a substrate treatment apparatus, capable of shortening the time of a cleaning step. In one embodiment of the present invention, the inside of an etching apparatus is cleaned by plasma of a mixed gas containing H2 gas and O2 gas between processes. This shortens the cleaning time to improve the productivity.
    Type: Application
    Filed: March 23, 2011
    Publication date: December 22, 2011
    Applicant: CANON ANELVA CORPORATION
    Inventors: Tomoaki Osada, Franck Ernult
  • Patent number: 8080108
    Abstract: A method for the continuous vacuum cleaning of a substrate, characterized in that: a species is chosen that has a low sputtering efficiency and is chemically active with regard to the soiling matter; using at least one linear ion source, a plasma is generated from a gas mixture comprising predominantly the species having a low sputtering efficiency, especially one based on oxygen; and at least one surface portion of the substrate is subjected to the plasma so that said ionized species at least partly eliminates, by chemical reaction, the soiling matter possibly adsorbed or located on the surface portion.
    Type: Grant
    Filed: January 21, 2005
    Date of Patent: December 20, 2011
    Assignee: Saint-Gobain Glass France
    Inventors: Nicolas Nadaud, Eric Mattman, Jean-Paul Rousseau, Marcus Loergen
  • Patent number: 8080109
    Abstract: A method for using a film formation apparatus for a semiconductor process includes setting an idling state where a reaction chamber of the film formation apparatus accommodates no product target substrate therein, and then, performing a purging process of removing a contaminant present in an inner surface of the reaction chamber by causing radicals to act on the inner surface of the reaction chamber. The radicals are generated by activating a purging process gas containing oxygen and hydrogen as elements.
    Type: Grant
    Filed: May 2, 2008
    Date of Patent: December 20, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Mitsuhiro Okada, Satoshi Takagi, Ryou Son, Masahiko Tomita, Yamato Tonegawa, Toshiharu Nishimura
  • Patent number: 8080477
    Abstract: A method for using a film formation apparatus for a semiconductor process to form a thin film on a target substrate inside a reaction chamber includes performing a cleaning process to remove a by-product film deposited on a predetermined region in a gas route from a film formation gas supply system, which supplies a film formation gas contributory to film formation, through the reaction chamber to an exhaust system, by alternately repeating an etching step and an exhaust step a plurality of times in a state where the reaction chamber does not accommodate the target substrate. The etching step includes supplying a cleaning gas in an activated state for etching the by-product film onto the predetermined region, thereby etching the by-product film. The exhaust step includes stopping supply of the cleaning gas and exhausting gas by the exhaust system from a space in which the predetermined region is present.
    Type: Grant
    Filed: October 7, 2008
    Date of Patent: December 20, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Nobutake Nodera, Jun Sato, Masanobu Matsunaga, Kazuhide Hasebe
  • Patent number: 8075790
    Abstract: A film removal method and apparatus for removing a film from a substrate are disclosed. The method comprises the steps of disposing a plasma generator and a sucking apparatus over the substrate, projecting a plasma beam from the plasma generator onto the film obliquely, disposing the sucking apparatus on a reflection path of plasma projected by the plasma generator, and sucking a by-product of an incomplete plasma reaction occurring to the film so as to keep a surface of the substrate clean, with a view to overcoming the drawbacks of deposition of the by-product which results from using the plasma as a surface cleansing means under atmospheric conditions.
    Type: Grant
    Filed: April 1, 2008
    Date of Patent: December 13, 2011
    Assignee: Industrial Technology Research Institute
    Inventors: Chia-Chiang Chang, Chin-Jyi Wu, Chen-Der Tsai, Chun-Hung Lin
  • Patent number: 8076117
    Abstract: Methods, kits, and systems for removing microbial biofilms from surfaces of objects (such as, e.g., explanted medical devices) are disclosed. The methods, kits, and systems rely on the use of acoustic energy in the presence of microbubbles to enhance biofilm removal while retaining viability of the microorganisms in the biofilm. The microbubbles may be provided in a variety of manners such as, e.g., vortexing a liquid, obtaining a suspension that includes pre-formed protein-stabilized microbubbles, etc.
    Type: Grant
    Filed: March 17, 2005
    Date of Patent: December 13, 2011
    Assignee: Mayo Foundation for Medical Education and Research
    Inventors: Andrej Trampuz, Robin Patel, James F. Greenleaf, Arlen D. Hanssen
  • Patent number: 8075789
    Abstract: A method and apparatus for cleaning a chamber in a substrate processing system having less reactivity with the chamber walls and the components contained therein. The method includes mixing a diluent gas with a flow of radicals produced by a plasma remotely disposed with respect to the chamber, at a point located between a plasma applicator and the chamber. The apparatus includes a fluid manifold having multiple inlets and an outlet with the outlet being coupled to an intake port of the chamber. One of the inlets are in fluid communication with the plasma applicator, with the remaining inlets being in fluid communication with a supply of the diluent gas. In this fashion, the diluent gas flow and the flow of reactive radicals mix when traveling between the inlets and the outlet to form a gas-radical mixture egressing from the outlet and traversing through the intake port.
    Type: Grant
    Filed: July 11, 1997
    Date of Patent: December 13, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Karl A. Littau, Chiliang L. Chen, Anand Vasudev
  • Publication number: 20110277784
    Abstract: Methods for operating a plasma processing chamber for a cleaning operation of an internal region of the plasma processing chamber are disclosed. The method is performed when a semiconductor wafer is not present in the plasma processing chamber. The plasma processing chamber has a bottom electrode assembly that includes an inner bottom electrode and an outer bottom electrode, and the inner bottom electrode and outer bottom electrode are electrically isolated by a dielectric ring. The method includes configuring the inner bottom electrode to be set at a floating potential and supplying a process gas into the plasma processing chamber. And, supplying RF power to the outer bottom electrode. The supplying of RF power to the outer bottom electrode is conducted while maintaining the inner bottom electrode at the floating potential and is isolated by the dielectric ring. The RF power produces a plasma that is generated substantially outside of the inner bottom electrode and over the outer bottom electrode.
    Type: Application
    Filed: July 26, 2011
    Publication date: November 17, 2011
    Applicant: Lam Research Corporation
    Inventor: Rajinder Dhindsa
  • Patent number: 8057604
    Abstract: A method and a device for descaling a metal strip, in which the metal strip is guided in a direction of conveyance through at least one plasma descaling unit in which it is subjected to a plasma descaling. The metal strip is subjected to an automatically controlled cooling process in a cooling unit following the plasma descaling in the one or more plasma descaling units in such a way that it has a well-defined temperature downstream of the cooling unit.
    Type: Grant
    Filed: March 16, 2006
    Date of Patent: November 15, 2011
    Assignee: SMS Siemag Aktiengesellschaft
    Inventors: Holger Behrens, Rolf Brisberger, Klaus Frommann, Matthias Kretschmer, Rüdiger Zerbe, Evgeny Stepanovich Senokosov, Andrei Evgenievich Senokosov
  • Patent number: 8057603
    Abstract: A method of cleaning a substrate processing chamber that enables formation of an oxide film on a surface of a processing chamber inside component to be prevented. A substrate processing chamber 11 has therein a processing space S into which a wafer W is transferred and carries out reactive ion etching on the wafer W in the processing space S. The substrate processing chamber 11 has an upper electrode plate 38 that comprises silicon and a lower surface of which is exposed to the processing space S. A dry cleaning is carried out on the upper electrode plate 38 using oxygen radicals produced from oxygen gas introduced into the processing space S. An oxide removal processing is carried out on the upper electrode plate 38 using fluorine ions and fluorine radicals produced from carbon tetrafluoride gas introduced into the processing space S.
    Type: Grant
    Filed: February 5, 2007
    Date of Patent: November 15, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Masanobu Honda, Yutaka Matsui
  • Patent number: 8052798
    Abstract: A particle removal apparatus for removing particles from a chamber of a plasma processing apparatus, wherein the chamber is connected to a gas exhaust port and a plasma of a processing gas is generated in the chamber to plasma process a substrate to be processed, includes a particle charging control member for positively charging particles generated within the chamber by positive ions of an ion sheath region formed in a region other than the vicinity of the substrate to be processed, wherein positively charged particles are discharged from the chamber via the gas exhaust port. Therefore, there is no plasma disturbance or metal contamination, and thus can be applied to a practical use.
    Type: Grant
    Filed: December 7, 2009
    Date of Patent: November 8, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Tsuyoshi Moriya, Hiroshi Nagaike
  • Patent number: 8052799
    Abstract: An apparatus and a method for operating the same. The method includes providing an apparatus which includes a chamber, wherein the chamber includes first and second inlets, an anode and a cathode structures in the chamber, and a wafer on the cathode structure. A cleaning gas is injected into the chamber via the first inlet. A collecting gas is injected into the chamber via the second inlet. The cleaning gas when ionized has a property of etching a top surface of the wafer resulting in a by-product mixture in the chamber. The collecting gas has a property of preventing the by-product mixture from depositing back to the surface of the wafer.
    Type: Grant
    Filed: October 12, 2006
    Date of Patent: November 8, 2011
    Assignee: International Business Machines Corporation
    Inventors: Edward Crandal Cooney, III, William Joseph Murphy, Anthony Kendall Stamper, David Craig Strippe
  • Patent number: 8055365
    Abstract: A system for supplying a reagent to multiple tools in an electronics fabrication facility is configured using a demand probability distribution. In specific examples the reagent is a non-atmospheric or a specialty gas and the demand probability distribution is developed using Monte Carlo statistical techniques. In one embodiment, a method for configuring a reagent supply system for an electronic device manufacturing facility is provided. The method includes (a) collecting representative information for process tools within the fabrication facility which use the reagent; (b) creating a simulation of process tool operation to model an overall demand profile for the process tools; (c) creating a statistical probability distribution of the reagent demand by the process tools using data from the model; and (d) correlating data from the probability distribution with supply system characterization data to configure the supply system.
    Type: Grant
    Filed: March 31, 2009
    Date of Patent: November 8, 2011
    Assignee: Praxair Technology, Inc.
    Inventors: Jeremy Michael Cabral, Shrikar Chakravarti
  • Patent number: 8052887
    Abstract: When plasma processing is finished, a gate valve 13a is closed and cleaning gas is ejected from holes 121a of a shower plate 121, and at the same time, a microwave is generated from a microwave generator 101. Further, at this time, the inside of a process chamber 110 is exhausted through a second exhaust port 106. Since the exhaust is conducted through a second exhaust port 106 positioned lower than a wafer stage 104 in a lowered state when the inside of the process chamber 110 is cleaned, it is possible to more effectively remove gas and reaction products deposited especially in a lower portion of the process chamber 110.
    Type: Grant
    Filed: January 19, 2010
    Date of Patent: November 8, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Toshihisa Nozawa, Tamaki Yuasa
  • Publication number: 20110265814
    Abstract: Methods for processing substrates in twin chamber processing systems having first and second process chambers and shared processing resources are provided herein. In some embodiments, a method may include flowing a process gas from a shared gas panel to a processing volume of the first process chamber and to a processing volume of the second process chamber; forming a first plasma in the first processing volume to process the first substrate and a second plasma to process the second substrate; monitoring the first processing volume and the second processing volume to determine if a process endpoint is reached in either volume; and either terminating the first and second plasma simultaneously when a first endpoint is reached; or terminating the first plasma when a first endpoint is reached in the first processing volume while continuing to provide the second plasma in the second processing volume until a second endpoint is reached.
    Type: Application
    Filed: October 29, 2010
    Publication date: November 3, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventors: JAMES P. CRUSE, DERMOT CANTWELL, MING XU, CHARLES HARDY, BENJAMIN SCHWARZ, KENNETH S. COLLINS, ANDREW NGUYEN, ZHIFENG SUI, EVANS LEE
  • Publication number: 20110266209
    Abstract: The present invention is directed to a novel method for cleaning a filter surface using a plasma discharge self-cleaning filtration system. The method involves utilizing plasma discharges to induce short electric pulses of nanoseconds duration at high voltages. These electrical pulses generate strong Shockwaves that disintegrate and dislodge particulate matter located on the surface of the filter.
    Type: Application
    Filed: August 7, 2008
    Publication date: November 3, 2011
    Inventors: Young I. Cho, Alexander Fridman, Alexander F. Gutsol, Yong Yang
  • Publication number: 20110265813
    Abstract: A vacuum processing apparatus includes a vacuum chamber for performing a plasma process and a cleaning process unit for performing a cleaning process to apply a plasma process to a wafer on which a single layer or a laminated film containing a metallic film is formed by using a corrosive gas, and a control unit having a sequence to abort the plasma process when an abnormality occurs in the vacuum chamber and transfer the wafer subjecting to the aborting of the plasma process to the cleaning process unit, after elapsing a predetermined time, to perform the cleaning process.
    Type: Application
    Filed: July 29, 2010
    Publication date: November 3, 2011
    Inventors: Masakazu Okai, Kenji Tamai, Toru Ueno
  • Publication number: 20110265815
    Abstract: The present invention achieves a method of cleaning a support plate according to which, while no waste solution is produced after cleaning the support plate, the support plate can be treated at low cost. The method of cleaning the support plate includes the step of performing an organic substance and metal each adhered to the support plate by causing dry ice particle to hit the support plate, the support plate being a support plate from which a substrate has been stripped.
    Type: Application
    Filed: April 13, 2011
    Publication date: November 3, 2011
    Applicant: TOKYO OHKA KOGYO CO., LTD.
    Inventors: Tatsuhiro MITAKE, Atsushi MATSUSHITA
  • Patent number: 8048327
    Abstract: In a plasma processing apparatus for processing an object to be processed by generating plasma in a processing chamber: a first electrode is arranged in the processing chamber and a second electrode is arranged to face the first electrode in the processing chamber; a first and a second power systems include a first and a second power supplies for supplying a first and a second powers to the first and the second electrodes, respectively; and a control unit controls both or either one of the first and the second power systems so as to apply a preprocessing voltage to the second electrode for a time period before plasma processing is performed on the object.
    Type: Grant
    Filed: February 14, 2008
    Date of Patent: November 1, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Akira Koshiishi, Masatoshi Kitano
  • Publication number: 20110259362
    Abstract: In certain aspects, a substrate deposition apparatus, including a gas tube coupled to a gas source, an RF power source and a substrate processing chamber, is provided. The gas tube is adapted to carry process gas and cleaning plasma from the gas source/remote plasma gas source to the substrate processing chamber and the RF power source is adapted to couple RF power to the substrate processing chamber. Furthermore an RF choke coupled to the RF power source and the gas source wherein the RF choke is adapted to attenuate a voltage difference between the RF power source and the gas source to prevent plasma formation in the gas tube during substrate processing. Numerous other aspects are provided.
    Type: Application
    Filed: July 7, 2011
    Publication date: October 27, 2011
    Applicant: Applied Materials, Inc.
    Inventor: Carl A. Sorensen
  • Publication number: 20110262870
    Abstract: A purge ring for a photonic temperature processing system includes a first layer, a second layer, and a third layer. The first layer, the second layer and the third layer define an inner region. The first layer and the second layer define a first plenum and a first baffle. The first plenum receives a first gas that flows through the first plenum and the first baffle to the inner region. The second layer and the third layer define a second plenum and a second baffle. The second plenum receives a second gas that flows through the second plenum and the second baffle to the inner region, and wherein the second baffle is one of less restrictive and more restrictive than the first baffle.
    Type: Application
    Filed: April 20, 2011
    Publication date: October 27, 2011
    Inventors: James Lee, Lisa Gytri
  • Patent number: 8043438
    Abstract: An apparatus for cleaning a CVD apparatus that can efficiently remove a by-product such as SiO2 or Si3N4 stuck and deposited onto the surface of an internal wall, an electrode, or the like in a reaction chamber in a film forming process, and a method for cleaning a CVD apparatus. A control monitors luminous intensity data of an F radical in a reaction chamber by optical emission spectroscopy and compares the data with calibrated prestored luminous intensity data, and ends cleaning after a predetermined time passes from reaching a luminous intensity saturation point. Furthermore, concentration data of SiF4 in a gas discharged from the reaction chamber are monitored by a Fourier transform infrared spectrometry and compared with prestored concentration data of SiF4 to decide that the predetermined time has passed when a predetermined cleaning end point concentration is reached, thereby ending the cleaning.
    Type: Grant
    Filed: March 12, 2004
    Date of Patent: October 25, 2011
    Assignees: National Institute of Advanced Industrial Science and Technology, Canon Anelva Corporation, Ulvac, Inc., Sanyo Electric Co., Ltd., Sony Corporation, Tokyo Electron Limited, Hitachi Kokusai Electric Inc., Renesas Electronics Corporation, Fujitsu Semiconductor Limited
    Inventors: Katsuo Sakai, Kaoru Abe, Seiji Okura, Masaji Sakamura, Hitoshi Murata, Kenji Kameda, Etsuo Wani, Akira Sekiya
  • Patent number: 8043434
    Abstract: A method and apparatus remove photoresist from a wafer. A process gas containing sulfur (S), oxygen (O), and hydrogen (H) is provided, and a plasma is generated from the process gas in a first chamber. A radical-rich ion-poor reaction medium is flown from the first chamber to a second chamber where the wafer is placed. The patterned photoresist layer on the wafer is removed using the reaction medium, and then the reaction medium flowing into the second chamber is stopped. Water vapor may be introduced in a salvation zone provided in a passage of the reaction medium flowing down from the plasma such that the water vapor solvates the reaction medium to form solvated clusters of species before the reaction medium reaches the wafer. The photoresist is removed using the solvated reaction medium.
    Type: Grant
    Filed: October 23, 2008
    Date of Patent: October 25, 2011
    Assignee: Lam Research Corporation
    Inventors: Robert P. Chebi, Jaroslaw W. Winniczek
  • Publication number: 20110253674
    Abstract: The present invention relates to an inductively coupled plasma processing chamber and method for a cylindrical workpiece with a three-dimensional profile, and more particularly to an inductively coupled plasma processing reactor and method for a cylindrical workpiece with a three-dimensional profile, in which the workpiece serving as an internal RF antenna is connected to an RF power source through an impedance matching network at one end, and a terminating capacitor at another end so as to achieve low plasma contamination, confine dense uniform plasma in the substrate vicinity and suppress secondary electrons emitted from the substrate, and a plasma process can be applied to a 3-D linear semiconductor device, a metal, glass, ceramic or polymer substrate having planar or 3-D structured micro or nano patterns, and the like.
    Type: Application
    Filed: September 29, 2008
    Publication date: October 20, 2011
    Applicants: New Optics, Ltd., Korea Electrotechnology Research Institute
    Inventors: Sung Il Chung, S.A. Nikiforov, Hyeon Seok Oh, Pan Kyeom Kim, Hyeon Taeg Gim, Jeong Woo Jeon
  • Patent number: 8038834
    Abstract: A plasma processing system includes a processing chamber, a substrate holder configured to hold a substrate for plasma processing, and a gas injection assembly. The gas injection assembly includes a first evacuation port located substantially in a center of the gas injection assembly and configured to evacuate gases from a central region of the substrate, and a gas injection system configured to inject gases in the process chamber. The plasma processing system also includes a second evacuation port configured to evacuate gases from a peripheral region surrounding the central region of the substrate.
    Type: Grant
    Filed: April 6, 2010
    Date of Patent: October 18, 2011
    Assignees: Tokyo Electron Limited, International Business Machines Corporation (“IBM”)
    Inventors: Merritt Funk, David V. Horak, Eric J. Strang, Lee Chen
  • Publication number: 20110247649
    Abstract: The invention relates to a method of cleaning and/or sterilization of an object provided in a hermetically sealed enclosure, providing a pressure difference between an internal volume of the enclosure and surroundings and generating a plasma solely inside the enclosure for said cleaning and/or sterilization of the object. The invention further relates to an apparatus for enabling the same. The apparatus 10 comprises a vacuum chamber 1, which can be evacuated using a vacuum pump 2, and a source 3 arranged to generate plasma of a suitable gas in an enclosure 8, which is substantially hermetically closed with respect to the atmosphere of the vacuum chamber. The enclosure 8 may be of a flexible type or may be manufactured from a rigid material. In case when the enclosure is rigid the pressure inside the enclosure may be lower than an outside pressure.
    Type: Application
    Filed: October 16, 2009
    Publication date: October 13, 2011
    Applicant: Nederlandse Organisatie Voor Toegepast- Natuurwetenschappelijk Onderzoek TNO
    Inventors: Norbertus Benedictus Koster, René Koops, Kemal Agovic, Fokko Pieter Wieringa
  • Patent number: 8034183
    Abstract: In a RLSA microwave plasma processing apparatus that radiates microwave from a microwave generator into a chamber by using a planer antenna (Radial Line Slot Antenna) having many slots formed according to a certain pattern, the chamber contaminated with Na or the like is cleaned by using a cleaning gas containing H2 and O2.
    Type: Grant
    Filed: January 23, 2006
    Date of Patent: October 11, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Shingo Furui, Takashi Kobayashi, Junichi Kitagawa
  • Publication number: 20110232678
    Abstract: A method of extending storage time prior to cleaning a component of a plasma chamber is provided. The method comprises removing the component from the chamber, covering a thermal spray coating on the component while the surface is exposed to atmospheric air, storing the component, optionally removing the covering, and optionally wet cleaning reaction by-products from the thermal spray coating. Alternatively, instead of, or in addition to covering a thermal spray coating on the component, the component can be placed into a desiccator or dry-box.
    Type: Application
    Filed: June 2, 2011
    Publication date: September 29, 2011
    Applicant: Lam Research Corporation
    Inventors: Hong Shih, Qian Fu, Tuochuan Huang, Raphael Casaes, Duane Outka
  • Patent number: 8025736
    Abstract: Semiconductor device fabrication equipment performs a PEOX (physical enhanced oxidation) process, and includes a remote plasma generator for cleaning a process chamber of the equipment. After a PEOX process has been preformed, a purging gas is supplied into the process chamber to purge the process chamber, and the remote plasma generator produces plasma using a first cleaning gas. Accordingly, a reactor of the remote plasma generator is cleaned by the first cleaning gas plasma. Subsequently, the purging gas is supplied to purge the process chamber, and the remote plasma generator produces plasma using a second cleaning gas to remove the first cleaning gas plasma from the remote plasma generator and the process chamber. Finally, full flush operations are performed to remove any gases remaining in the process chamber.
    Type: Grant
    Filed: November 20, 2007
    Date of Patent: September 27, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyoung-Hwan Chin, Kyoung-In Kim, Hak-Su Jung, Kyoung-Min An
  • Publication number: 20110226280
    Abstract: A plasma ashing process for removing photoresist, polymers and/or residues from a substrate comprises placing the substrate including the photoresist, polymers, and/or residues into a reaction chamber; generating a plasma from a gas mixture comprising oxygen gas (O2) and/or an oxygen containing gas; suppressing and/or reducing fast diffusing species in the plasma; and exposing the substrate to the plasma to selectively remove the photoresist, polymers, and/or residues from the substrate, wherein the plasma is substantially free from fast diffusing species.
    Type: Application
    Filed: May 27, 2011
    Publication date: September 22, 2011
    Applicant: AXCELIS TECHNOLOGIES, INC.
    Inventors: Ivan L. Berry, Carlo Waldfried, Shijian Luo, Orlando Escorcia
  • Patent number: 8021489
    Abstract: A method of forming a plasma to physicochemically modify properties of a fluid spray in a substrate treatment processes includes providing an applicator in proximity to the substrate. The applicator comprises an electrically insulated main body portion containing a cavity, a tube axially positioned within the cavity for transporting a first fluid, an annular electric-field generator positioned within the cavity between the main body portion and the tube, a region between the tube and the generator for transporting a second fluid, and a nozzle connected to the main body portion for mixing the first fluid with the second fluid to form the fluid spray. The tube, the nozzle or the substrate are selectively grounded. Upon activating the electric-field generator, plasma is formed within the tube or about the region between the tube and the generator when the tube is grounded, within the nozzle when the nozzle is grounded or between the nozzle and the substrate when the substrate is grounded.
    Type: Grant
    Filed: January 28, 2011
    Date of Patent: September 20, 2011
    Inventor: David P. Jackson
  • Patent number: 8021565
    Abstract: A surface treatment method includes: removing a fluorocarbon-containing reaction product from a surface of a workpiece by oxygen gas plasma processing. The workpiece includes a plurality of layers. The fluorocarbon-containing reaction product is deposited by successively etching the layers of the workpiece. The method further includes after removing the reaction product, removing an oxide-containing reaction product from the surface of the workpiece using hydrogen fluoride gas.
    Type: Grant
    Filed: March 24, 2008
    Date of Patent: September 20, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Katsuaki Aoki, Naoya Hayamizu, Kei Hattori, Yukihiro Oka, Hidemi Kanetaka, Makoto Hasegawa
  • Publication number: 20110220143
    Abstract: A device for cleaning an inner surface of a workpiece includes a beam of radiation, at least one generating unit for generating the beam, a drive unit for rotating the at least one generating unit about an axis of rotation, where the at least one generating unit is configured with a preferential direction towards the surface to be treated. So that an inner surface of the workpiece can be cleaned with short effect times of the beam, it is provided that an arm connected to the at least one generating unit is provided for inserting the generating unit into the workpiece and that the axis of rotation and the preferential direction are inclined relative to one another.
    Type: Application
    Filed: April 3, 2009
    Publication date: September 15, 2011
    Applicant: PlasmaTreat GmbH
    Inventors: Christian Buske, Peter Förnsel
  • Publication number: 20110223710
    Abstract: An in-situ method of cleaning a vacuum deposition chamber can include flowing at least one reactive gas into the chamber.
    Type: Application
    Filed: March 9, 2011
    Publication date: September 15, 2011
    Applicant: First Solar, Inc.
    Inventor: Markus E. Beck
  • Patent number: 8017197
    Abstract: A microwave is radiated into a processing chamber (1) from a planar antenna member of an antenna (7) through a dielectric plate (6). With this, a C5F8 gas supplied into the processing chamber (1) from a gas supply member (3) is changed (activated) into a plasma so as to form a fluorine-containing carbon film of a certain thickness on a semiconductor wafer (W). Each time a film forming process of forming a film on one wafer is carried out, a cleaning process and a pre-coating process are carried out. In the cleaning process, the inside of the processing chamber is cleaned with a plasma of an oxygen gas and a hydrogen gas. In the pre-coating process, the C5F8 gas is changed into a plasma, and a pre-coat film of fluorine-containing carbon thinner than the fluorine-containing carbon film formed in the film forming process is formed.
    Type: Grant
    Filed: November 19, 2004
    Date of Patent: September 13, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Yasuo Kobayashi, Kohei Kawamura
  • Publication number: 20110214687
    Abstract: A device for cleaning a bevel edge of a semiconductor substrate. The device includes: a lower support having a cylindrical top portion; a lower plasma-exclusion-zone (PEZ) ring surrounding the outer edge of the top portion and adapted to support the substrate; an upper dielectric component opposing the lower support and having a cylindrical bottom portion; an upper PEZ ring surrounding the outer edge of the bottom portion and opposing the lower PEZ ring; and at least one radiofrequency (RF) power source operative to energize process gas into plasma in an annular space defined by the upper and lower PEZ rings, wherein the annular space encloses the bevel edge.
    Type: Application
    Filed: April 6, 2011
    Publication date: September 8, 2011
    Applicant: Lam Research Corporation
    Inventors: Andrew D. Bailey, III, Alan M. Schoepp, Gregory Sexton, Yunsang Kim, William S. Kennedy
  • Patent number: 8012264
    Abstract: A tire vulcanization mold cleaning method for cleaning a molding surface of a sector of the tire vulcanization mold for molding a tread portion of a pneumatic tire by using plasma created in an atmosphere of a reaction gas which chemically reacts with dirt attached to the molding surface of the sector. The method comprises the steps of placing the sector on a electrode table in a cleaning tank with the molding surface of the sector facing a discharge electrode, supplying the reaction gas to the cleaning tank while pressure in the cleaning tank is reduced, supplying a high-frequency power to the discharge electrode to create the plasma between the discharge electrode and the molding surface of the sector, and applying to the electrode table a high-frequency voltage having a frequency lower than a high frequency wave supplied to the discharge electrode to make the electrode table generate a negative self-bias.
    Type: Grant
    Filed: August 1, 2006
    Date of Patent: September 6, 2011
    Assignee: The Yokohama Rubber Co., Ltd.
    Inventors: Akikazu Seko, Miyuki Saitou, Toshihiko Hatanaka
  • Publication number: 20110209725
    Abstract: A method of cleaning a bevel edge of a substrate in an etch processing chamber is provided. The method includes placing a substrate on a substrate support in a processing chamber. The method also includes flowing a cleaning gas through a gas feed located near a center of a gas distribution plate, disposed at a distance from the substrate support. The method further includes generating a cleaning plasma near a bevel edge of the substrate to clean the bevel edge by powering a bottom edge electrode or a top edge electrode with a RF power source and grounding the edge electrode that is not powered by the RF power source, the bottom edge electrode surrounds the substrate support and the top edge electrode surrounds the gas distribution plate.
    Type: Application
    Filed: March 21, 2011
    Publication date: September 1, 2011
    Inventors: Yunsang Kim, Andrew D. Bailey, III
  • Publication number: 20110207332
    Abstract: A plasma processing apparatus used in semiconductor device manufacturing includes a process kit formed of insulating materials such as quartz and coated with a Y2O3 coating. The Y2O3 coating is a thin film formed using suitable CVD or PVD operations. The Y2O3 coating is resistant to degradation in fluorine etching chemistries commonly used to etch silicon in semiconductor manufacturing. The plasma processing apparatus may be used in etching, stripping and cleaning operations. Also provided in another embodiment is a plasma processing apparatus having a quartz process kit coated with a sapphire-like film.
    Type: Application
    Filed: May 12, 2010
    Publication date: August 25, 2011
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Hsu-Shui LIU, Yeh-Chieh WANG, Jiun-Rong PAI
  • Publication number: 20110206833
    Abstract: An extension electrode with enhanced durability and etching rate for plasma bevel etchers. The extension electrode comprises a plasma exposed truncated conical surface on an annular aluminum body. The aluminum body can roughened prior to anodization and coated with a ceramic material such as yttria.
    Type: Application
    Filed: February 11, 2011
    Publication date: August 25, 2011
    Applicant: Lam Research Corporation
    Inventors: Gregory Sexton, Paul Aponte
  • Publication number: 20110204123
    Abstract: Described herein are a method and an apparatus for removing metal oxides and/or forming solder joints on at least a portion of a substrate surface within a target area. In one particular embodiment, the method and apparatus form a solder joint within a substrate comprising a layer having a plurality of solder bumps by providing one or more energizing electrodes and exposing at least a portion of the layer and solder bumps to the energizing electrode.
    Type: Application
    Filed: August 16, 2010
    Publication date: August 25, 2011
    Applicant: AIR PRODUCTS AND CHEMICALS, INC.
    Inventors: Chun Christine Dong, Ranajit Ghosh, Gregory Khosrov Arslanian
  • Publication number: 20110203610
    Abstract: A vacuum processing system with a vacuum chamber has an inlet, at least first and second outlets, exhaust means at a first of said outlets and a remote plasma source RPS, wherein the RPS is attached to a connecting point to the second of said outlets. In a method for remote plasma cleaning of a vacuum processing system with such an arrangement a flow of radicals is generated by said remote plasma source and directed to the first of said outlets whilst operating exhaust means via the second of said outlets.
    Type: Application
    Filed: July 7, 2009
    Publication date: August 25, 2011
    Applicant: OERLIKON SOLAR AG, TRUBBACH
    Inventors: Felix-George Leu, Christoph Ellert, Gerold Buchel, Javier Martin