Showerhead-type Patents (Class 156/345.34)
  • Patent number: 8211231
    Abstract: A delivery device for thin-film material deposition has at least first, second, and third inlet ports for receiving a common supply for a first, a second and a third gaseous material, respectively. Each of the first, second, and third elongated emissive channels allow gaseous fluid communication with one of corresponding first, second, and third inlet ports. The delivery device can be formed from apertured plates, superposed to define a network of interconnecting supply chambers and directing channels for routing each of the gaseous materials from its corresponding inlet port to a corresponding plurality of elongated emissive channels. The delivery device comprises a diffusing channel formed by a relief pattern between facing plates. Also disclosed is a process for thin film deposition. Finally, more generally, a flow diffuser and a corresponding method of diffusing flow is disclosed.
    Type: Grant
    Filed: September 26, 2007
    Date of Patent: July 3, 2012
    Assignee: Eastman Kodak Company
    Inventors: Roger S. Kerr, David H. Levy, James T. Murray
  • Patent number: 8207470
    Abstract: Provided is an apparatus for generating remote plasma, which can improve thin-film quality by preventing an arc at a bias electrode. The apparatus includes a radio frequency (RF) electrode installed inside an upper portion of a chamber, a bias electrode installed apart from the RF electrode, and including a plurality of through holes through which plasma passes, wherein a bias power is supplied to the bias electrode, a plasma generating unit formed between the RF electrode and the bias electrode, wherein a plasma gas is supplied to the plasma generating unit, and a ground electrode installed under and spaced apart from the bias electrode, and including plasma through holes corresponding to the through holes of the bias electrode, wherein a pulsed DC bias of a second voltage level, which has a first voltage level periodically, is applied to the bias electrode.
    Type: Grant
    Filed: August 25, 2009
    Date of Patent: June 26, 2012
    Assignee: Industry-University Cooperation Foundation Hanyang University
    Inventors: Hyeong-Tag Jeon, Sang-Hyun Woo, Hyung-Chul Kim, Chin-Wook Chung
  • Patent number: 8206506
    Abstract: A showerhead electrode includes inner and outer steps at an outer periphery thereof, the outer step cooperating with a clamp ring which mechanically attaches the electrode to a backing plate.
    Type: Grant
    Filed: July 7, 2008
    Date of Patent: June 26, 2012
    Assignee: Lam Research Corporation
    Inventors: Babak Kadkhodayan, Rajinder Dhindsa, Anthony de la Llera, Michael C. Kellogg
  • Publication number: 20120156880
    Abstract: An inductively coupled plasma processing apparatus includes a processing chamber in which a semiconductor substrate is processed, a substrate support, a dielectric window forming a wall of the chamber, an antenna operable to generate and maintain a plasma in the processing chamber, and a showerhead plate of dielectric material adjacent the dielectric window. The showerhead plate includes gas holes in fluid communication with a plenum below the dielectric window, the plenum having a gas volume of no greater than 500 cm3. The gas holes extend between the plenum and a plasma exposed surface of the showerhead plate and the gas holes have an aspect ratio of at least 2. A gas delivery system is operable to supply an etching gas and a deposition gas into the processing chamber through the showerhead plate while the semiconductor substrate is supported on the substrate support.
    Type: Application
    Filed: February 2, 2012
    Publication date: June 21, 2012
    Applicant: Lam Research Corporation
    Inventor: Theo Panagopoulos
  • Patent number: 8202393
    Abstract: A gas distribution system for supplying a gas mixture to a plasma process chamber is provided. A first valve arrangement is connected to upstream ends of a first gas line and a second gas line. A second valve arrangement is connected to downstream ends of the first gas line and the second gas line. A first gas distribution outlet line is connected between a gas supply and the first valve arrangement and a first chamber inlet line connected between the second valve arrangement and the plasma process chamber. A first evacuation line is connected to the first gas line at a location between the first valve arrangement and the second valve arrangement. A second evacuation line is connected to the second gas line at a location between the first valve arrangement and the second valve arrangement. The first evacuation line and second evacuation line are in fluid communication with a vacuum line.
    Type: Grant
    Filed: August 22, 2008
    Date of Patent: June 19, 2012
    Assignee: Lam Research Corporation
    Inventors: Harry P. Wong, Vernon Wong, Christopher Charles Griffin, Mark Taskar
  • Publication number: 20120145326
    Abstract: A plasma processing chamber has a lower liner with an integrated flow equalizer. In an etching process, the processing gases may be unevenly drawn from the processing chamber which may cause an uneven etching of the substrate. The integrated flow equalizer is configured to equalize the flow of the processing gases evacuated from the chamber via the lower liner.
    Type: Application
    Filed: February 21, 2012
    Publication date: June 14, 2012
    Inventors: James D. CARDUCCI, Andrew NGUYEN, Ajit BALAKRISHNA, Michael C. KUTNEY
  • Patent number: 8197636
    Abstract: Embodiments described herein relate to a substrate processing system that integrates substrate edge processing capabilities. Illustrated examples of the processing system include, without limitations, a factory interface, a loadlock chamber, a transfer chamber, and one or more twin process chambers having two or more processing regions that are isolatable from each other and share a common gas supply and a common exhaust pump. The processing regions in each twin process chamber include separate gas distribution assemblies and RF power sources to provide plasma at selective regions on a substrate surface in each processing region. Each twin process chamber is thereby configured to allow multiple, isolated processes to be performed concurrently on at least two substrates in the processing regions.
    Type: Grant
    Filed: April 21, 2008
    Date of Patent: June 12, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Ashish Shah, Dale R. DuBois, Ganesh Balasubramanian, Mark A. Fodor, Eui Kyoon Kim, Chiu Chan, Karthik Janakiraman, Thomas Nowak, Joseph C. Werner, Visweswaren Sivaramakrishnan, Mohamad Ayoub, Amir Al-Bayati, Jianhua Zhou
  • Patent number: 8197599
    Abstract: A gas head that, at low cost, is capable of suppressing any deactivation of radical gas and capable of uniformly introducing a raw material gas on a substrate; and a relevant thin-film manufacturing apparatus are provided. A gas head (13) according to the present invention includes a reactive gas introduction port (30A) for introduction of a reactive gas, a plurality of raw material gas introduction ports (30B) for introduction of a raw material gas, and a dispersion board (32) for dispersing the raw material gas, wherein the plurality of the raw material gas introduction ports (30B) are disposed so as to surround the periphery of the reactive gas introduction port (30A). The reactive gas having been introduced in the reactive gas introduction port (30A) is mixed with the raw material gas having been introduced through a plurality of raw material gas introduction ports (30B) and dispersed by means of the dispersion board (32).
    Type: Grant
    Filed: November 13, 2006
    Date of Patent: June 12, 2012
    Assignee: Ulvac, Inc.
    Inventors: Takakazu Yamada, Nobuyuki Kato, Masaki Uematsu
  • Patent number: 8187413
    Abstract: The present invention relates generally to plasma processing and, more particularly, to plasma processing chambers and electrode assemblies used therein. According to one embodiment of the present invention, an electrode assembly is provided comprising a thermal control plate, a silicon-based showerhead electrode, and a thermally conductive gasket, wherein respective profiles of a frontside of the thermal control plate and a backside of the showerhead electrode cooperate to define a disjointed thermal interface comprising portions proximal to showerhead passages of the showerhead electrode and portions displaced from the showerhead passages. The displaced portions are recessed relative to the proximal portions and are separated from the showerhead passages by the proximal portions of the thermal interface.
    Type: Grant
    Filed: March 18, 2008
    Date of Patent: May 29, 2012
    Assignee: Lam Research Corporation
    Inventors: Roger Patrick, Raj Dhindsa, Greg Bettencourt, Alexei Marakhtanov
  • Patent number: 8187386
    Abstract: Apparatus is generally provided for vapor deposition of a sublimated source material as a thin film on a photovoltaic module substrate. The apparatus includes a distribution plate disposed below the distribution manifold and at a defined distance above a horizontal conveyance plane of an upper surface of a substrate conveyed through the apparatus. The distribution plate defines a pattern of passages therethrough configured to provide greater resistance to the flow of sublimated source vapors at a first longitudinal end than a second longitudinal end. A process for vapor deposition of a sublimated source material to form thin film on a photovoltaic module substrate is also provided via distributing the sublimated source material onto an upper surface of the substrates through a distribution plate positioned between the upper surface of the substrate and the receptacle.
    Type: Grant
    Filed: December 22, 2010
    Date of Patent: May 29, 2012
    Assignee: PrimeStar Solar, Inc.
    Inventor: Mark Jeffrey Pavol
  • Patent number: 8187414
    Abstract: A silicon-based showerhead electrode is provided where backside inserts are positioned in backside recesses formed along the backside of the electrode. The backside inserts comprise a threaded outside diameter, a threaded inside diameter, and a tool engaging portion formed in the threaded inside diameter. The tool engaging portion is formed such that the backside insert further comprises one or more lateral shielding portions between the tool engaging portion and the threaded outside diameter to prevent a tool engaged with the tool engaging portion of the backside insert from extending beyond the threaded outside diameter of the insert. Further, the tool engaging portion of the backside insert comprises a plurality of torque-receiving slots arranged about the axis of rotation of the backside insert. The torque-receiving slots are arranged to avoid on-axis rotation of the backside insert via opposing pairs of torque receiving slots.
    Type: Grant
    Filed: March 24, 2009
    Date of Patent: May 29, 2012
    Assignee: Lam Research Corporation
    Inventors: Randall Hardin, John Keihl, Duane Lytle
  • Publication number: 20120111501
    Abstract: A plasma processing apparatus includes: a lower electrode which is provided in a process chamber and functions as a holding stage on which a substrate is placed; an upper electrode which functions as the shower head for introducing a gas and is vertically movable; a cover body which is provided over the upper electrode and hermetically closes an upper opening of the process chamber; an isolated space providing member which is provided to close a space between the upper electrode and the cover body, provides therein an isolated space isolated from a space outside the isolated space providing member, and includes therein an inlet/outlet through which a gas is introduced/exhausted, wherein a size of the isolated space varies as the upper electrode is vertically moved; and a gas introducing and exhausting mechanism which introduces/exhausts a gas into/from the isolated space of the isolated space providing member.
    Type: Application
    Filed: November 2, 2011
    Publication date: May 10, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Hachishiro IIZUKA
  • Patent number: 8171877
    Abstract: A carrier assembly is provided comprising a backside mounted electrode carrier and electrode mounting hardware. The backside mounted electrode carrier comprises an electrode accommodating aperture, which in turn comprises a sidewall structure that is configured to limit lateral movement of an electrode positioned in the aperture. The electrode accommodating aperture further comprises one or more sidewall projections that support the weight of an electrode positioned in the aperture. The electrode mounting hardware is configured to engage an electrode positioned in the electrode accommodating aperture from the backside of the carrier and urge the electrode against the sidewall projections so as to limit axial movement of the electrode in the electrode accommodating aperture. Additional embodiments of broader and narrower scope are contemplated.
    Type: Grant
    Filed: June 30, 2008
    Date of Patent: May 8, 2012
    Assignee: Lam Research Corporation
    Inventors: Jason Augustino, Armen Avoyan, Yan Fang, Duane Outka, Hong Shih, Stephen Whitten
  • Patent number: 8172948
    Abstract: A feature in a layer is provided. A photoresist layer is formed over the layer. The photoresist layer is patterned to form photoresist features with photoresist sidewalls, where the photoresist features have a first critical dimension. A fluorine-containing conformal layer is deposited over the sidewalls of the photoresist features to reduce the critical dimensions of the photoresist features. Fluorine is removed from the conformal layer, while the remaining conformal layer is left in place. Features are etched into the layer, wherein the layer features have a second critical dimension, which is less than the first critical dimension.
    Type: Grant
    Filed: November 1, 2007
    Date of Patent: May 8, 2012
    Assignee: Lam Research Corporation
    Inventors: Dongho Heo, Jisoo Kim, S. M. Reza Sadjadi
  • Publication number: 20120108072
    Abstract: Apparatus, devices, and methods for increasing the ion energy in a plasma processing devices are provided. In various embodiments, the surface area of a showerhead facing the work piece includes a plurality of features. The plurality of features increases the surface area of the showerhead relative to a flat surface. Increasing the surface area of the showerhead increases the ion energy without increasing the power used to generate the plasma. Increasing the ion energy using such a showerhead allows for the broader application of plasma processes in integrated circuit manufacturing.
    Type: Application
    Filed: October 29, 2010
    Publication date: May 3, 2012
    Inventors: Ivelin A. Angelov, James E. Caron, Ilia Kalinovski, Zhao Li
  • Patent number: 8168001
    Abstract: Film-forming apparatus including a film-forming vacuum chamber having a stage for a substrate, a chamber for mixing gas comprising a raw gas and a reactive gas connected to the film-forming chamber, a chamber for vaporizing the raw material, and a gas head for introducing the mixed gas into the film-forming chamber, disposed on the upper face of the film-forming chamber and opposed to the stage. Particle traps with controllable temperatures are positioned between the vaporization chamber and the mixing chamber and on the downstream side of the mixing chamber. When forming a thin film with the apparatus, a reactive gas and/or a carrier gas are passed through the film-forming chamber while opening a valve in a by-pass line, connecting the primary side to the secondary side of the particle trap arranged at the downstream side of the mixing chamber. The valve is then closed and the film-forming operation is initiated.
    Type: Grant
    Filed: April 17, 2003
    Date of Patent: May 1, 2012
    Assignee: Ulvac, Inc.
    Inventors: Hiroto Uchida, Takehito Jinbo, Takeshi Masuda, Masahiko Kajinuma, Takakazu Yamada, Masaki Uematsu, Koukou Suu, Isao Kimura
  • Publication number: 20120097330
    Abstract: A substrate processing system includes a thermal processor or a plasma generator adjacent to a processing chamber. A first processing gas enters the thermal processor or plasma generator. The first processing gas then flows directly through a showerhead into the processing chamber. A second processing gas flows through a second flow path through the showerhead. The first and second processing gases are mixed below the showerhead and a layer of material is deposited on a substrate under the showerhead.
    Type: Application
    Filed: October 20, 2010
    Publication date: April 26, 2012
    Applicant: Applied Materials, Inc.
    Inventors: Prahallad Iyengar, Sanjeev Baluja, Dale R. DuBois, Juan Carlos Rocha-Alverez, Thomas Nowak, Scott A. Hendrickson, Yong-Won Lee, Mei-Yee Shek, Li-Qun Xia, Derek R. Witty
  • Publication number: 20120097331
    Abstract: Systems, system components, and methods for plasma stripping are provided. In an embodiment, a gas flow distribution receptacle may have a rounded section that includes an inner surface defining a reception cavity, an outer surface forming an enclosed end, and a centerpoint on the outer surface having a longitudinal axis extending therethrough and through the reception cavity. First and second rings of openings provide flow communication with the plasma chamber. The second ring of openings are disposed between the first ring and the centerpoint, and each opening of the second ring of openings extends between the inner and outer surfaces at a second angle relative to the longitudinal axis that is less than the first angle and has a diameter that is substantially identical to a diameter of an adjacent opening and smaller than the diameters of an opening of the first ring of openings.
    Type: Application
    Filed: January 3, 2012
    Publication date: April 26, 2012
    Applicant: NOVELLUS SYSTEMS, INC.
    Inventors: Huatan Qiu, Woody Chung, Anirban Guha, David Cheung
  • Patent number: 8161906
    Abstract: An electrode assembly for a plasma reaction chamber used in semiconductor substrate processing. The assembly includes an upper showerhead electrode which includes an inner electrode mechanically attached to a backing plate by a clamp ring and an outer electrode attached to the backing plate by a series of spaced apart cam locks. A guard ring surrounds the backing plate and is movable to positions at which openings in the guard ring align with openings in the backing plate so that the cam locks can be rotated with a tool to release cam pins extending upward from the upper face of the outer electrode. To compensate for differential thermal expansion, the clamp ring can include expansion joins at spaced locations which allow the clamp ring to absorb thermal stresses.
    Type: Grant
    Filed: July 7, 2008
    Date of Patent: April 24, 2012
    Assignee: Lam Research Corporation
    Inventors: Babak Kadkhodayan, Rajinder Dhindsa, Anthony de la Llera, Michael C. Kellogg
  • Publication number: 20120090783
    Abstract: There is provided a plasma processing apparatus for performing a process on a substrate by generating inductively coupled plasma. The plasma processing apparatus includes an upper lid, provided to cover a top opening of the processing chamber, having a dielectric window; multiple gas inlets provided at the upper lid; a high frequency coil positioned above the dielectric window at an outside of the processing chamber; and a gas supply device supported by the upper lid and provided under the dielectric window. Here, the gas supply device includes a single sheet of plate having through holes, multiple groove-shaped gas paths are formed between the plate and the dielectric window, end portions of the groove-shaped gas paths are opened to edges of the through holes and communicate with the gas inlets, and the gas supply device is configured to supply the processing gas into the processing chamber via the through holes.
    Type: Application
    Filed: October 12, 2011
    Publication date: April 19, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Hachishiro Iizuka
  • Patent number: 8152922
    Abstract: A system and method for mixing a plurality of gases for an atomic layer deposition (ALD) reactor. The mixer is configured to mix the plurality of gases while minimizing the potential for re-circulation within the mixer. The mixer is further configured to maintain the flow velocity of the plurality of gases as the gases pass through the mixer.
    Type: Grant
    Filed: August 30, 2004
    Date of Patent: April 10, 2012
    Assignee: ASM America, Inc.
    Inventors: Ryan M. Schmidt, Mohith Verghese
  • Patent number: 8152954
    Abstract: The present invention relates generally to plasma processing and, more particularly, to plasma processing chambers and electrode assemblies used therein. According to one embodiment of the present invention, an electrode assembly is provided comprising a thermal control plate, a silicon-based showerhead electrode, and securing hardware, wherein the silicon-based showerhead electrode comprises a plurality of partial recesses formed in the backside of the silicon-based showerhead electrode and backside inserts positioned in the partial recesses. The thermal control plate comprises securing hardware passages configured to permit securing hardware to access the backside inserts.
    Type: Grant
    Filed: October 12, 2007
    Date of Patent: April 10, 2012
    Assignee: Lam Research Corporation
    Inventors: Greg Bettencourt, Raj Dhindsa, George Diercks, Randall A. Hardin, Jon Keihl, Duane Lytle, Alexei Marakhtanov, Roger Patrick, John Pegg, Shannon Spencer
  • Patent number: 8152923
    Abstract: An MOCVD reactor such as a rotating disc reactor (10) is equipped with a gas injector head having diffusers (129) disposed between adjacent gas inlets. The diffusers taper in the downstream direction. The injector head desirably has inlets (117) for a first gas such as a metal alkyl disposed in radial rows which terminate radially inward from the reactor wall to minimize deposition of the reactants on the reactor wall. The injector head desirably also has inlets (125) for a second gas such as ammonia arranged in a field between the rows of first gas inlets, and additionally has a center inlet (135) for the second gas coaxial with the axis of rotation.
    Type: Grant
    Filed: January 11, 2008
    Date of Patent: April 10, 2012
    Assignee: Veeco Instruments Inc.
    Inventors: Bojan Mitrovic, Alex Gurary, Eric A. Armour
  • Patent number: 8152924
    Abstract: The invention relates to a device for depositing at least one layer on a substrate by means of a process gas which is introduced through a flow channel (4), extending in a vertical direction, of a gas inlet member (3), fixed in place with respect to a reactor housing, into a process chamber (1), extending in a horizontal direction, wherein the process gas leaves a gas outlet opening of a portion of the gas inlet member (3), protruding into the center of the rotationally symmetrical process chamber (1), and flows in a radially outward direction via a base (8?) of the process chamber (1), extending in a horizontal direction and rotating about the center, on which base the substrate lies. In order to improve the gas flow directly above the base of the process chamber, it is proposed that the front (3?) of the gas inlet member (3) protrudes into a pot-like recess (23) and an end portion (6?) of a gas deflecting face (6) is flush with the base (8?).
    Type: Grant
    Filed: November 11, 2006
    Date of Patent: April 10, 2012
    Assignee: Aixtron Inc.
    Inventors: Martin Dauelsberg, Johannes Käppeler, Conor Martin
  • Patent number: 8147614
    Abstract: Embodiments of the disclosure generally provide a method and apparatus for processing a substrate in a vacuum process chamber. In one embodiment a vacuum process chamber is provided that includes a chamber body and lid disposed on the chamber body. A blocker plate is coupled to the lid and bounds a staging plenum therewith. A gas distribution plate is coupled to the lid. The gas distribution plate separates a main plenum defined between the gas distribution plate and the blocker plate from a process volume defined within the chamber body. The gas distribution plate and the blocker plate define a spacing gradient therebetween which influences mixing of gases within the main plenum.
    Type: Grant
    Filed: June 6, 2010
    Date of Patent: April 3, 2012
    Assignee: Applied Materials, Inc.
    Inventors: John M. White, Carl Sorensen, Robin Tiner, Beom Soo Park, Soo Young Choi
  • Publication number: 20120073754
    Abstract: A plasma confinement ring assembly with a single movable lower ring can be used for controlling wafer area pressure in a capacitively coupled plasma reaction chamber wherein a wafer is supported on a lower electrode assembly and process gas is introduced into the chamber by an upper showerhead electrode assembly. The assembly includes an upper ring, the lower ring, hangers, hanger caps, spacer sleeves and washers. The lower ring is supported by the hangers and is movable towards the upper ring when the washers come into contact with the lower electrode assembly during adjustment of the gap between the upper and lower electrodes. The hanger caps engage upper ends of the hangers and fit in upper portions of hanger bores in the upper ring. The spacer sleeves surround lower sections of the hangers and fit within lower portions of the hanger bores. The washers fit between enlarged heads of the hangers and a lower surface of the lower ring.
    Type: Application
    Filed: February 4, 2011
    Publication date: March 29, 2012
    Applicant: Lam Research Corporation
    Inventors: Anthony de la Llera, David Carman, Travis R. Taylor, Saurabh J. Ullal, Harmeet Singh
  • Publication number: 20120073755
    Abstract: Electric field intensity distribution of a high frequency power for plasma generation can be controlled without generating abnormal electric discharge. There is provided an electrode for a plasma processing apparatus capable of supplying a gas. The electrode may include a base member 105a made of a dielectric material and having therein a certain space U; a cover 107 for airtightly sealing the space U and isolating the space U from a plasma generation space when the electrode is installed at the plasma processing apparatus; and multiple gas hole tubes 105e passing through the cover member 107, the space U and the base member 105a. Each gas hole tube has a gas hole isolated from the space U.
    Type: Application
    Filed: September 27, 2011
    Publication date: March 29, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Daisuke Hayashi
  • Patent number: 8142606
    Abstract: Methods and apparatus having a gradient spacing created between a substrate support assembly and a gas distribution plate for depositing a silicon film for solar cell applications are provided. In one embodiment, an apparatus for depositing films for solar cell applications may include a processing chamber, a substrate support disposed in the processing chamber and configured to support a quadrilateral substrate thereon, and a gas distribution plate disposed in the processing chamber above the substrate support, wherein a bottom surface of the gas distribution plate has a perimeter that includes edges and corners, and wherein the corners of the gas distribution plate are closer to the substrate support than the edges of the gas distribution plate.
    Type: Grant
    Filed: June 7, 2007
    Date of Patent: March 27, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Soo Young Choi, Tae Kyung Won, Beom Soo Park, John M. White
  • Publication number: 20120070997
    Abstract: A gas switching system for a gas distribution system for supplying different gas compositions to a chamber, such as a plasma processing chamber of a plasma processing apparatus, is provided. The chamber can include multiple zones, and the gas switching section can supply different gases to the multiple zones. The switching section can switch the flows of one or more gases, such that one gas can be supplied to the chamber while another gas can be supplied to a by-pass line, and then switch the gas flows.
    Type: Application
    Filed: December 5, 2011
    Publication date: March 22, 2012
    Applicant: Lam Research Corporation
    Inventor: Dean J. Larson
  • Patent number: 8137467
    Abstract: A temperature controlled showerhead for chemical vapor deposition (CVD) chambers enhances heat dissipation to enable accurate temperature control with an electric heater. Heat dissipates by conduction through a showerhead stem and fluid passageway and radiation from a back plate. A temperature control system includes one or more temperature controlled showerheads in a CVD chamber with fluid passageways serially connected to a heat exchanger.
    Type: Grant
    Filed: October 16, 2007
    Date of Patent: March 20, 2012
    Assignee: Novellus Systems, Inc.
    Inventors: Henner Meinhold, Dan M. Doble, Stephen Lau, Vince Wilson, Easwar Srinivasan
  • Patent number: 8137463
    Abstract: The present invention generally provides apparatus and method for processing a substrate. Particularly, the present invention provides apparatus and methods to obtain a desired distribution of a process gas. One embodiment of the present invention provides an apparatus for processing a substrate comprising an injection nozzle having a first fluid path including a first inlet configured to receive a fluid input, and a plurality of first injection ports connected with the first inlet, wherein the plurality of first injection ports are configured to direct a fluid from the first inlet towards a first region of a process volume, and a second fluid path including a second inlet configured to receive a fluid input, and a plurality of second injection ports connected with the second inlet, wherein the second injection ports are configured to direct a fluid from the second inlet towards a second region of the process volume.
    Type: Grant
    Filed: December 19, 2007
    Date of Patent: March 20, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Wei Liu, Johanes S. Swenberg, Hanh D. Nguyen, Son T. Nguyen, Roger Curtis, Philip A. Bottini
  • Patent number: 8133349
    Abstract: An inductively coupled plasma processing apparatus includes a processing chamber in which a semiconductor substrate is processed, a substrate support, a dielectric window forming a wall of the chamber, an antenna operable to generate and maintain a plasma in the processing chamber, and a showerhead plate of dielectric material adjacent the dielectric window. The showerhead plate includes gas holes in fluid communication with a plenum below the dielectric window, the plenum having a gas volume of no greater than 500 cm3. The gas holes extend between the plenum and a plasma exposed surface of the showerhead plate and the gas holes have an aspect ratio of at least 2. A gas delivery system is operable to supply an etching gas and a deposition gas into the processing chamber through the showerhead plate while the semiconductor substrate is supported on the substrate support.
    Type: Grant
    Filed: November 3, 2010
    Date of Patent: March 13, 2012
    Assignee: Lam Research Corporation
    Inventor: Theo Panagopoulos
  • Patent number: 8133323
    Abstract: A film forming apparatus is provided with a chamber which defines a processing space for performing a film forming process to a substrate a stage arranged in the chamber for mounting the substrate thereon; a substrate heating unit arranged on the stage for heating the substrate; a shower head which is arranged to face the stage and has many gas injecting holes; a gas supply unit for supplying cooling unit arranged above the shower head for cooling the shower head; and a shower head heating unit arranged above the cooling unit for heating the shower head through the cooling unit.
    Type: Grant
    Filed: December 19, 2008
    Date of Patent: March 13, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Takashi Kakegawa
  • Patent number: 8133322
    Abstract: A semiconductor fabrication reactor according to the invention comprises a rotatable susceptor mounted to the top of a reactor chamber. One or more wafers are mounted to a surface of the susceptor and the rotation of the susceptor causes the wafers to rotate within the chamber. A heater heats the susceptor and a chamber gas inlet allows semiconductor growth gasses into the reactor chamber to deposit semiconductor material on said wafers. A chamber gas outlet is included to allow growth gasses to exit the chamber. In a preferred embodiment, the inlet is at or below the level of said wafers and the outlet is preferably at or above the level of the wafers. A semiconductor fabrication system according to the invention comprises a source of gasses for forming epitaxial layers on wafers and a source of gasses for dopants in said epitaxial layers.
    Type: Grant
    Filed: September 27, 2002
    Date of Patent: March 13, 2012
    Assignee: Cree, Inc.
    Inventors: Shuji Nakamura, Steven DenBaars, Max Batres, Michael Coulter
  • Publication number: 20120055632
    Abstract: A showerhead electrode, a gasket set and an assembly thereof in plasma reaction chamber for etching semiconductor substrates are provided with improved a gas injection hole pattern, positioning accuracy and reduced warping, which leads to enhanced uniformity of plasma processing rate. A method of assembling the inner electrode and gasket set to a supporting member includes simultaneous engagement of cam locks.
    Type: Application
    Filed: September 3, 2010
    Publication date: March 8, 2012
    Applicant: Lam Research Corporation
    Inventors: Anthony de la Llera, Pratik Mankidy, Michael C. Kellogg, Rajinder Dhindsa
  • Patent number: 8128751
    Abstract: A film-forming apparatus of the invention is a film-forming apparatus that includes: a processing container that defines a chamber, a pedestal arranged in the chamber, on which a substrate to be processed can be placed, a showerhead provided opposite to the pedestal, which has a large number of gas-discharging holes, a gas-supplying mechanism that supplies a process gas into the chamber through the showerhead, and a showerhead-temperature controlling unit that controls a temperature of the showerhead.
    Type: Grant
    Filed: March 16, 2009
    Date of Patent: March 6, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Shigeru Kasai, Takashi Kakegawa
  • Patent number: 8123902
    Abstract: A method and apparatus for providing flow into a processing chamber are provided. In one embodiment, a vacuum processing chamber is provided that includes a chamber body having an interior volume, a substrate support disposed in the interior volume and a gas distribution assembly having an asymmetrical distribution of gas injection ports. In another embodiment, a method for vacuum processing a substrate is provided that includes disposing a substrate on a substrate support within in a processing chamber, flowing process gas into laterally into a space defined above a gas distribution plate positioned in the processing chamber over the substrate, and processing the substrate in the presence of the processing gas.
    Type: Grant
    Filed: March 21, 2007
    Date of Patent: February 28, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Paul Brillhart, Daniel J. Hoffman, James D. Carducci, Xiaoping Zhou, Matthew L. Miller
  • Patent number: 8123860
    Abstract: An apparatus for cyclical depositing of thin films on semiconductor substrates, comprising a process chamber having a gas distribution system with separate paths for process gases and an exhaust system synchronized with operation of valves dosing the process gases into a reaction region of the chamber.
    Type: Grant
    Filed: October 30, 2008
    Date of Patent: February 28, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Randhir P. S. Thakur, Alfred W. Mak, Ming Xi, Walter Benjamin Glenn, Ahmad A. Khan, Ayad A. Al-Shaikh, Avgerinos V. Gelatos, Salvador P. Umotoy
  • Publication number: 20120045902
    Abstract: Showerhead electrodes for a semiconductor material processing apparatus are disclosed. An embodiment of the showerhead electrodes includes top and bottom electrodes bonded to each other. The top electrode includes one or more plenums. The bottom electrode includes a plasma-exposed bottom surface and a plurality of gas holes in fluid communication with the plenum. Showerhead electrode assemblies including a showerhead electrode flexibly suspended from a top plate are also disclosed. The showerhead electrode assemblies can be in fluid communication with temperature-control elements spatially separated from the showerhead electrode to control the showerhead electrode temperature. Methods of processing substrates in plasma processing chambers including the showerhead electrode assemblies are also disclosed.
    Type: Application
    Filed: October 28, 2011
    Publication date: February 23, 2012
    Applicant: Lam Research Corporation
    Inventors: Andreas Fischer, Rajinder Dhindsa
  • Patent number: 8118938
    Abstract: A plasma processing chamber has a lower liner with an integrated flow equalizer. In an etching process, the processing gases may be unevenly drawn from the processing chamber which may cause an uneven etching of the substrate. The integrated flow equalizer is configured to equalize the flow of the processing gases evacuated from the chamber via the lower liner.
    Type: Grant
    Filed: July 27, 2011
    Date of Patent: February 21, 2012
    Assignee: Applied Materials, Inc.
    Inventors: James D. Carducci, Andrew Nguyen, Ajit Balakrishna, Michael C. Kutney
  • Patent number: 8118935
    Abstract: A thin film manufacturing system, wherein a stage for placing a substrate thereon is disposed within a vacuum reactor and a gas head for supplying a film forming gas to a central area on a top face of the vacuum reactor is arranged so that the gas head is opposed to the stage. A cylindrical sleeve member is disposed and comes in close contact with a side wall of the stage to surround a periphery of the stage. The height of the stage can be established at the position where the volume of a second space formed below the stage and connected to a vacuum discharge means is larger than that of a first space formed above the stage, in such a manner that an exhaust gas is isotropically discharged from the first space without causing any convection current therein through the interstice between the sleeve member and an inner wall surface constituting the reactor.
    Type: Grant
    Filed: May 19, 2005
    Date of Patent: February 21, 2012
    Assignee: ULVAC, Inc.
    Inventors: Takakazu Yamada, Takeshi Masuda, Masahiko Kajinuma, Masaki Uematsu, Koukou Suu
  • Publication number: 20120037314
    Abstract: A substrate processing apparatus that enables abnormal electrical discharges and metal contamination to be prevented from occurring. A processing chamber is configured to house and carry out predetermined plasma processing on a substrate. A lower electrode is disposed on a bottom portion of the processing chamber and has the substrate mounted thereon. An upper electrode is disposed in a ceiling portion of the processing chamber. A side wall component covering a side wall of the processing chamber faces onto a processing space between the upper electrode and the lower electrode. The side wall component has at least one electrode layer to which a DC voltage is applied. An insulating portion made of an insulating material is present at least between the electrode layer and the processing space and covers the electrode layer. The insulating portion is formed by thermally spraying the insulating material.
    Type: Application
    Filed: October 21, 2011
    Publication date: February 16, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Shosuke ENDOH, Tsuyoshi MORIYA, Akitaka SHIMIZU
  • Publication number: 20120031559
    Abstract: A semiconductor wafer processing apparatus includes a first electrode exposed to a first plasma generation volume, a second electrode exposed to a second plasma generation volume, and a gas distribution unit disposed between the first and second plasma generation volumes. The first electrode is defined to transmit radiofrequency (RF) power to the first plasma generation volume, and distribute a first plasma process gas to the first plasma generation volume. The second electrode is defined to transmit RF power to the second plasma generation volume, and hold a substrate in exposure to the second plasma generation volume. The gas distribution unit includes an arrangement of through-holes defined to fluidly connect the first plasma generation volume to the second plasma generation volume. The gas distribution unit also includes an arrangement of gas supply ports defined to distribute a second plasma process gas to the second plasma generation volume.
    Type: Application
    Filed: August 4, 2010
    Publication date: February 9, 2012
    Applicant: Lam Research Corporation
    Inventors: Rajinder Dhindsa, Alexei Marakhatnov, Andrew D. Bailey, III
  • Patent number: 8109288
    Abstract: A partial pressure control system 45 includes two valves 2 which are branched from an operation gas supply pipe 44 and which variably control operation gas, pressure sensors 3 which are respectively connected to the each valves 2 in series and which detect pressure of the operation gas, and a controller 25 which proportionally controls the operation of the valves 2 based on detection result of the pressure sensors 3, thereby relatively controlling pressures P1 and P2 of the two valves. With this configuration, it is possible to reduce wastefull consumption of the operation gas, and to enhance the responsivity with respect to change of setting and the like.
    Type: Grant
    Filed: July 31, 2008
    Date of Patent: February 7, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Hideki Nagaoka, Hiroshi Koizumi, Jun Ooyabu, Tsuyoshi Shimazu, Hiroki Endo, Keiki Ito, Daisuke Hayashi
  • Patent number: 8110068
    Abstract: Systems, system components, and methods for plasma stripping are provided. In an embodiment, a gas flow distribution receptacle may have a rounded section that includes an inner surface defining a reception cavity, an outer surface forming an enclosed end, and a centerpoint on the outer surface having a longitudinal axis extending therethrough and through the reception cavity. First and second rings of openings provide flow communication with the plasma chamber. The second ring of openings are disposed between the first ring and the centerpoint, and each opening of the second ring of openings extends between the inner and outer surfaces at a second angle relative to the longitudinal axis that is less than the first angle and has a diameter that is substantially identical to a diameter of an adjacent opening and smaller than the diameters of an opening of the first ring of openings.
    Type: Grant
    Filed: March 20, 2008
    Date of Patent: February 7, 2012
    Assignee: Novellus Systems, Inc.
    Inventors: Huatan Qiu, Woody Chung, Anirban Guha, David Cheung
  • Publication number: 20120024478
    Abstract: A showerhead is disclosed in this invention. The showerhead includes a bottom plate, a channel plate, and a top plate. The bottom plate includes a plurality of cooling channels and a plurality of gas holes, wherein the gas holes includes at least one first gas hole and at least one second gas hole. The channel plate includes a first trench area and a second trench area, wherein the first gas hole is connected with the first trench area, and the second gas hole is connected with the second trench area. The top plate is coupled to the channel plate.
    Type: Application
    Filed: July 29, 2010
    Publication date: February 2, 2012
    Applicant: HERMES-EPITEK CORPORATION
    Inventors: Chien-Ping Huang, Tsan-Hua HUANG
  • Patent number: 8100081
    Abstract: The present invention provides methods and apparatuses for removing unwanted film from the edge area of substrate using remotely-generated plasmas. Activated plasma species are directed to the edge of the substrate to contact and remove the unwanted film, while intrusion of the activated species to areas above the active circuit region (where the film is desired) is suppressed. In certain embodiments, intrusion of the activated species is suppressed by the use of a purge gas and/or the use of materials that promote recombination of plasma species. In particular embodiments, atomic oxygen is used to remove ashable films from the edge of semiconductor wafers.
    Type: Grant
    Filed: August 31, 2006
    Date of Patent: January 24, 2012
    Assignee: Novellus Systems, Inc.
    Inventors: Jon Henri, Henner Meinhold, Christopher Gage, Dan Doble
  • Patent number: 8097083
    Abstract: An operating method for a large dimension plasma enhanced atomic layer deposition cavity and an apparatus thereof are provided. The present invention reduces the time needed for filling the manufacturing gas into the large volume manufacturing cavity. Therefore, the plasma enhanced atomic layer deposition apparatus can switch the precursors rapidly to increase the thin film deposition rate, reduce the manufacturing gas consumption and lower the manufacturing cost.
    Type: Grant
    Filed: October 6, 2005
    Date of Patent: January 17, 2012
    Assignee: China Star Optoelectronics International (HK) Limited
    Inventors: Hung-Wen Wei, Hung-Che Ting
  • Patent number: 8092598
    Abstract: Disclosed herein is a thin film deposition apparatus having a reaction chamber for forming a thin film on a plurality of substrates rested on a susceptor. The apparatus comprises: a gas supply means for supplying a plurality of gases to the inside of the reaction chamber from the outside, the gases including a reaction gas; a gas distribution means for distributing and spraying the gases supplied from the gas supply means so as to conform to the purpose of a process; a gas retaining means having a plurality of reaction cells for partitionally accommodating and retaining the respective gases distributed from the gas distribution means; a rotation driving means for rotating the gas retaining means such that the gases retained in the respective reaction cells are exposed to the substrates in sequence; and a gas exhaust means for pumping the gases retained by the gas retaining means to the outside of the reaction chamber.
    Type: Grant
    Filed: July 20, 2005
    Date of Patent: January 10, 2012
    Assignee: Fusionaid Co., Ltd.
    Inventors: Yong-Ku Baek, Seung-Hoon Lee
  • Patent number: 8092599
    Abstract: A system and method for uniform deposition of material layers on wafers in a rotating disk chemical vapor deposition reaction system is provided, wherein one or more substrates are rotated on a carrier about an axis while maintaining surfaces of the one or more substrates substantially perpendicular to the axis of rotation and facing in an upstream direction along the axis of rotation. During rotating a first gas is discharged in the downstream direction towards the one or more substrates from a first set of gas inlets. A second gas is discharged in the downstream direction towards the one or more substrates from at least one movable gas injector, and the at least one movable gas inlet is moved with a component of motion in a radial direction towards or away from the axis of rotation.
    Type: Grant
    Filed: July 10, 2007
    Date of Patent: January 10, 2012
    Assignee: Veeco Instruments Inc.
    Inventors: Piero Sferlazzo, Alexander I. Gurary, Eric A. Armour, William E. Quinn, Steve Ting