Showerhead-type Patents (Class 156/345.34)
  • Patent number: 8088225
    Abstract: A substrate support system comprises a substrate holder having a plurality of passages extending between top and bottom surfaces thereof. The substrate holder supports a peripheral portion of the substrate backside so that a thin gap is formed between the substrate and the substrate holder. A hollow support member provides support to an underside of, and is configured to convey gas upward into one or more of the passages of, the substrate holder. The upwardly conveyed gas flows into the gap between the substrate and the substrate holder. Depending upon the embodiment, the gas then flows either outward and upward around the substrate edge (to inhibit backside deposition of reactant gases above the substrate) or downward through passages of the substrate holder, if any, that do not lead back into the hollow support member (to inhibit autodoping by sweeping out-diffused dopant atoms away from the substrate backside).
    Type: Grant
    Filed: December 18, 2009
    Date of Patent: January 3, 2012
    Assignee: ASM America, Inc.
    Inventors: Matt G. Goodman, Jereon Stoutyesdijk, Ravinder Aggarwal, Mike Halpin, Tony Keeton, Mark Hawkins, Lee Haen, Armand Ferro, Paul Brabant, Robert Vyne, Gregory M. Bartlett, Joseph P. Italiano, Bob Haro
  • Patent number: 8088223
    Abstract: A substrate processing system has computer controlled injectors. The computer is configured to adjust a plurality of injectors, such as during deposition of a graded layer, between depositions of two different layers, or between deposition and chamber clean steps.
    Type: Grant
    Filed: March 9, 2006
    Date of Patent: January 3, 2012
    Assignee: ASM America, Inc.
    Inventors: Michael A. Todd, Keith D. Weeks, Paul T. Jacobson
  • Patent number: 8088248
    Abstract: A gas switching system for a gas distribution system for supplying different gas compositions to a chamber, such as a plasma processing chamber of a plasma processing apparatus, is provided. The chamber can include multiple zones, and the gas switching section can supply different gases to the multiple zones. The switching section can switch the flows of one or more gases, such that one gas can be supplied to the chamber while another gas can be supplied to a by-pass line, and then switch the gas flows.
    Type: Grant
    Filed: January 11, 2006
    Date of Patent: January 3, 2012
    Assignee: Lam Research Corporation
    Inventor: Dean J. Larson
  • Publication number: 20110315320
    Abstract: A gas distribution device for a substrate treating apparatus includes a plurality of plasma source electrodes having a first side surface; a plurality of plasma ground electrodes having a second side surface facing the first side surface, the plurality of plasma ground electrodes being alternately arranged with the plurality of plasma source electrodes; and a first gas providing part disposed at each plasma source electrode and including a first space, a plurality of first through-holes in communication with the first space for providing a first process gas between one of the plurality of plasma source electrodes and a corresponding ones of the plurality of plasma ground electrodes, and a first discharging portion at the first side surface.
    Type: Application
    Filed: June 23, 2011
    Publication date: December 29, 2011
    Applicant: JUSUNG ENGINEERING CO., LTD.
    Inventors: JAE-CHUL DO, BU-IL JEON, MYUNG-GON SONG, JUNG-RAK LEE
  • Patent number: 8083891
    Abstract: In a plasma processing apparatus that executes plasma processing on a semiconductor wafer placed inside a processing chamber by generating plasma with a processing gas supplied through a gas supply hole at an upper electrode (shower head) disposed inside the processing chamber, an interchangeable insert member is inserted at a gas passing hole at a gas supply unit to prevent entry of charged particles in the plasma generated in the processing chamber into the gas supply unit. This structure makes it possible to fully prevent the entry of charged particles in the plasma generated inside the processing chamber into the gas supply unit.
    Type: Grant
    Filed: September 30, 2010
    Date of Patent: December 27, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Tetsuji Sato
  • Patent number: 8083853
    Abstract: Embodiments of a gas diffuser plate for distributing gas in a processing chamber are provided. The gas distribution plate includes a diffuser plate having an upstream side and a downstream side, and a plurality of gas passages passing between the upstream and downstream sides of the diffuser plate. The gas passages include hollow cathode cavities at the downstream side to enhance plasma ionization. The depths, the diameters, the surface area and density of hollow cathode cavities of the gas passages that extend to the downstream end can be gradually increased from the center to the edge of the diffuser plate to improve the film thickness and property uniformity across the substrate. The increasing diameters, depths and surface areas from the center to the edge of the diffuser plate can be created by bending the diffuser plate toward downstream side, followed by machining out the convex downstream side. Bending the diffuser plate can be accomplished by a thermal process or a vacuum process.
    Type: Grant
    Filed: July 12, 2004
    Date of Patent: December 27, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Soo Young Choi, John M. White, Qunhua Wang, Li Hou, Ki Woon Kim, Shinichi Kurita, Tae Kyung Won, Suhail Anwar, Beom Soo Park, Robin L. Tiner
  • Patent number: 8083888
    Abstract: The invention provides a plasma processing apparatus for measuring the etching quantity of the material being processed and detecting the end point of etching using optical interference on the surface of a sample being processed, so as to simultaneously realize long life and ensure sufficient light to be received via a light transmitting unit, to enable long term stable operation and to improve the processing accuracy via accurate etching quantity detection.
    Type: Grant
    Filed: March 4, 2008
    Date of Patent: December 27, 2011
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Tatehito Usui, Tsuyoshi Yoshida, Tsuyoshi Matsumoto, Satoru Muto, Kenetsu Yokogawa
  • Patent number: 8084705
    Abstract: An electrode assembly and method of centering an outer ring around an electrode assembly in a plasma reaction chamber used in semiconductor substrate processing. The method includes positioning the outer ring around an outer surface of a backing member of the electrode assembly, and inserting at least one centering element between the outer ring and the backing member. The centering element can be a plurality of spring-loaded centering elements received in a cavity on the outer surface of the backing member, the centering elements having a first end adapted to contact the outer ring and a second end adapted to receive a spring. The outer ring surrounds an outer surface of the backing member, such that the plurality of spring-loaded centering elements are positioned between the outer surface of the backing member and an inner surface of the outer ring.
    Type: Grant
    Filed: December 14, 2010
    Date of Patent: December 27, 2011
    Assignee: Lam Research Corporation
    Inventors: Dean J. Larson, Daniel Brown, Keith Comendant, Victor Wang
  • Patent number: 8080107
    Abstract: A showerhead electrode assembly of a plasma processing apparatus includes a thermal control plate attached to a showerhead electrode, and a top plate attached to the thermal control plate. At least one thermal bridge is provided between opposed surfaces of the thermal control plate and the top plate to allow electrical and thermal conduction between the thermal control plate and top plate. A lubricating material between the thermal bridge and the top plate minimizes galling of opposed metal surfaces due to differential thermal expansion between the top plate and thermal control plate. A heater supported by the thermal control plate cooperates with the temperature controlled top plate to maintain the showerhead electrode at a desired temperature.
    Type: Grant
    Filed: November 24, 2009
    Date of Patent: December 20, 2011
    Assignee: Lam Research Corporation
    Inventors: William S. Kennedy, David E. Jacob
  • Publication number: 20110303641
    Abstract: Components and systems for controlling a process or chamber component temperature as a plasma process is executed by plasma processing apparatus. A first heat transfer fluid channel is disposed in a component subjacent to a working surface disposed within a plasma processing chamber such that a first length of the first channel subjacent to a first temperature zone of the working surface comprises a different heat transfer coefficient, h, or heat transfer area, A, than a second length of the first channel subjacent to a second temperature zone of the working surface. In embodiments, different heat transfer coefficients or heat transfer areas are provided as a function of temperature zone to make more independent the temperature control of the first and second temperature zones.
    Type: Application
    Filed: May 19, 2011
    Publication date: December 15, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Chetan MAHADESWARASWAMY, Kallol BERA, Larry D. ELIZAGA
  • Patent number: 8075690
    Abstract: An apparatus and method for supporting a substantial center portion of a gas distribution plate is disclosed. At least one support member is capable of engaging and disengaging the diffuser with a mating connection without prohibiting flow of a gas or gases through the diffuser and is designed to provide vertical suspension to a diffuser that is supported at its perimeter, or capable of supporting the diffuser without a perimeter support. In one aspect, the at least one support member is a portion of a gas delivery conduit and in another embodiment is a plurality of support members separated from the gas delivery conduit. The at least one support member is capable of translating vertical lift, or vertical compression to a center area of the diffuser. A method and apparatus for controlling gas flow from the gas delivery conduit to the gas distribution plate is also disclosed.
    Type: Grant
    Filed: September 19, 2008
    Date of Patent: December 13, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Ernst Keller, John M. White, Robin L. Tiner, Jiri Kucera, Soo Young Choi, Beom Soo Park, Michael Starr
  • Patent number: 8074599
    Abstract: Embodiments of a gas distribution plate for distributing gas in a processing chamber are provided. In one embodiment, a gas distribution assembly for a plasma processing chamber comprises a diffuser plate with gas passages passing between its upstream and downstream sides and hollow cathode cavities at the downstream side of the gas passages. The downstream side of the diffuser plate has a curvature to improve the thickness uniformity and film property uniformity of thin films deposited by PECVD, particularly SiN and amorphous silicon films. The curvature is preferably described by an arc of a circle or ellipse, the apex thereof located at the center point of the diffuser plate. In one aspect, the hollow cathode cavity volume density, surface area density, or the cavity density of the diffuser increases from the center of the diffuser to the outer edge. Methods for manufacturing such a diffuser plate are also provided.
    Type: Grant
    Filed: July 1, 2005
    Date of Patent: December 13, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Soo Young Choi, Beom Soo Park, John M. White, Robin L. Tiner
  • Patent number: 8070910
    Abstract: A shower head structure characterized by comprising a shower head section, opposed to the upper surface of a mounting table in an evacuable treating vessel, for injecting a processing gas into the treating vessel; a temperature observation through-hole which opens in the lower surface of the shower head so as to be opposed to the upper surface of the mounting table, a transparent observation window which hermetically seals the upper end of the temperature observation through-hole, a radiation thermometer disposed on the upper surface of the transparent observation window, an adhesion preventive gas supply path communicating with the temperature observation through-hole to prevent a film from adhering to the transparent observation window, wherein the adhesion preventive gas supply path communicates with the temperature observation through-hole through an injection nozzle for injecting the adhesion preventive gas to the transparent observation window.
    Type: Grant
    Filed: December 18, 2009
    Date of Patent: December 6, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Kenji Matsumoto
  • Publication number: 20110284166
    Abstract: A plasma processing chamber has a lower liner with an integrated flow equalizer. In an etching process, the processing gases may be unevenly drawn from the processing chamber which may cause an uneven etching of the substrate. The integrated flow equalizer is configured to equalize the flow of the processing gases evacuated from the chamber via the lower liner.
    Type: Application
    Filed: July 27, 2011
    Publication date: November 24, 2011
    Inventors: JAMES D. CARDUCCI, ANDREW NGUYEN, AJIT BALAKRISHNA, MICHAEL C. KUTNEY
  • Publication number: 20110284165
    Abstract: Provided is a plasma processing apparatus that is capable of promoting improvement of in-plane uniformity of a process compared to a conventional technology, promoting miniaturization of the apparatus and improvement of processing efficiency, and easily changing an interval between an upper electrode and a lower electrode.
    Type: Application
    Filed: May 17, 2011
    Publication date: November 24, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Hachishiro IIZUKA
  • Patent number: 8058586
    Abstract: A plasma treatment apparatus that can perform an excellent plasma treatment on a portion of a work which is to be used for producing products or parts, while preventing undesirable occurrence of discharge at that portion reliably is provided. The plasma treatment apparatus performs a plasma treatment on a plate-shaped work having an usable region to be used for producing products or parts and an unusable region other than the usable region.
    Type: Grant
    Filed: September 11, 2007
    Date of Patent: November 15, 2011
    Assignee: Seiko Epson Corporation
    Inventor: Tatsumi Nishijima
  • Patent number: 8048226
    Abstract: A processing system for treating a substrate includes a process chamber, a substrate holder, a gas distribution system, and a flow modulation element. The process chamber has a pumping system to evacuate the process chamber. The substrate holder is coupled to the process chamber and supports the substrate. The gas distribution system is coupled to the process chamber. The gas distribution system introduces a process gas to a process space above an upper surface of the substrate. The flow modulation element is coupled to the substrate holder beyond a peripheral edge of the substrate. The flow modulation element includes one or more gas distribution openings that introduce an additive process gas beyond the peripheral edge of the substrate in a direction substantially away from the substrate. The additive process gas has a directional component substantially parallel to the upper surface of the substrate.
    Type: Grant
    Filed: March 30, 2007
    Date of Patent: November 1, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Mirko Vukovic, James Grootegoed
  • Patent number: 8043470
    Abstract: The present invention relates generally to plasma processing chambers and electrode assemblies used therein. According to one embodiment, an electrode assembly comprises a thermal control plate, a silicon-based showerhead electrode, and a probe assembly comprising an electrically conductive probe body and a silicon-based cap. The electrode assembly is configured such that the handedness of a threaded engagement of the silicon-based cap and a head section of the probe body and the handedness of the threaded engagement of the thermal control plate and a mid-section of the probe body have a common direction of rotation. Thereby, an application of torque to the silicon-based cap in a tightening direction of rotation tightens both threaded engagements. Further, the electrode assembly is configured such that the threaded engagement of the silicon-based cap and a head section of the probe body permits repetitive non-destructive engagement and disengagement of the silicon-based cap and the probe body.
    Type: Grant
    Filed: November 21, 2007
    Date of Patent: October 25, 2011
    Assignee: Lam Research Corporation
    Inventors: Randall A. Hardin, Jon Keihl, Shannon Spencer
  • Publication number: 20110253674
    Abstract: The present invention relates to an inductively coupled plasma processing chamber and method for a cylindrical workpiece with a three-dimensional profile, and more particularly to an inductively coupled plasma processing reactor and method for a cylindrical workpiece with a three-dimensional profile, in which the workpiece serving as an internal RF antenna is connected to an RF power source through an impedance matching network at one end, and a terminating capacitor at another end so as to achieve low plasma contamination, confine dense uniform plasma in the substrate vicinity and suppress secondary electrons emitted from the substrate, and a plasma process can be applied to a 3-D linear semiconductor device, a metal, glass, ceramic or polymer substrate having planar or 3-D structured micro or nano patterns, and the like.
    Type: Application
    Filed: September 29, 2008
    Publication date: October 20, 2011
    Applicants: New Optics, Ltd., Korea Electrotechnology Research Institute
    Inventors: Sung Il Chung, S.A. Nikiforov, Hyeon Seok Oh, Pan Kyeom Kim, Hyeon Taeg Gim, Jeong Woo Jeon
  • Patent number: 8038835
    Abstract: A processing gas fed from a gas feed pipe (8) through a gas introducing port (9) flows first into an outer annular gas flow channel (20a), where it is circumferentially diffused, and then into an inner annular gas flow channel (20b) via a passageway (23), and from this inner annular gas flow channel (20b) it flows into a gas diffusion gap (7) in the back surface of a shower head (6) via a gas feed hole 25. Thereafter, the processing gas is diffused in the gas diffusion gap (7) and delivered from gas delivery holes (5) to a semiconductor wafer (W). This makes it possible to improve the uniformity of in-plane process, as compared with the prior art, and to make a uniform process.
    Type: Grant
    Filed: April 5, 2010
    Date of Patent: October 18, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Kazuichi Hayashi, Kouichi Yatsuda, Masafumi Urakawa
  • Patent number: 8034176
    Abstract: A post-etch treatment system is described for removing photoresist and etch residue formed during an etching process. For example, the etch residue can include halogen containing material. The post-etch treatment system comprises a vacuum chamber, a remote radical generation system coupled to the vacuum chamber, a radical gas distribution system coupled to the radical generation system and configured to distribute reactive radicals above a substrate, and a high temperature pedestal coupled to the vacuum chamber and configured to support the substrate. The gas distribution system is configured to efficiently transport radicals to the substrate and distribute the radicals above the substrate.
    Type: Grant
    Filed: March 28, 2006
    Date of Patent: October 11, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Yuji Tsukamoto, H. Steven Tomozawa, Sam Yong Kim, Thomas Hamelin
  • Publication number: 20110244690
    Abstract: According to various embodiments of the disclosure, an apparatus and method for enhanced deposition and etch techniques is described, including a pedestal, the pedestal having at least two electrodes embedded in the pedestal, a showerhead above the pedestal, a plasma gas source connected to the showerhead, wherein the showerhead is configured to deliver plasma gas to a processing region between the showerhead and the substrate and a power source operably connected to the showerhead and the at least two electrodes with plasma being substantially contained in an area which corresponds with one electrode of the at least two electrodes.
    Type: Application
    Filed: December 9, 2010
    Publication date: October 6, 2011
    Inventors: Sunil Shanker, Tony P. Chiang, Chi-I Lang
  • Publication number: 20110240222
    Abstract: A gas shower head having many gas discharging ports formed on the lower surface is provided on the top wall of a processing container such that the gas shower head faces a placing table on which a substrate is to be placed, and the top wall of the processing container at the periphery of the gas shower head is composed of a dielectric material. A coil is provided on the dielectric material, and the phase of high frequency waves to be supplied to the gas shower head and the coil is adjusted so that the phase of the electrical field in a processing region above the substrate and the phase of the electrical field in the peripheral region surrounding the processing region are same or opposite to each other.
    Type: Application
    Filed: November 17, 2009
    Publication date: October 6, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Ikuo Sawada, Songyun Kang, Shigeru Kasai
  • Patent number: 8025731
    Abstract: A plasma processing system for plasma processing of substrates such as semiconductor wafers. The system includes a plasma processing chamber, a substrate support for supporting a substrate within the processing chamber, a dielectric member having an interior surface facing the substrate support, the dielectric member forming a wall of the processing chamber, a gas injector fixed to, part of or removably mounted in an opening in the dielectric window, the gas injector including a plurality of gas outlets supplying process gas into the chamber, and an RF energy source such as a planar or non-planar spiral coil which inductively couples RF energy through the dielectric member and into the chamber to energize the process gas into a plasma state. The arrangement permits modification of gas delivery arrangements to meet the needs of a particular processing regime. In addition, compared to consumable showerhead arrangements, the use of a removably mounted gas injector can be replaced more easily and economically.
    Type: Grant
    Filed: August 20, 2010
    Date of Patent: September 27, 2011
    Assignee: Lam Research Corporation
    Inventors: Tuqiang Ni, Alex Demos
  • Publication number: 20110226420
    Abstract: There is provided a plasma processing apparatus including a processing chamber 100 configured to perform a plasma process on a wafer W; an upper electrode 105 and a lower electrode 110 arranged to face each other in the processing chamber 100 and configured to form a processing space therebetween; and a high frequency power supply 150 connected with at least one of the upper electrode 105 and the lower electrode 110 and configured to output a high frequency power into the processing chamber 100. The upper electrode 105 includes an upper base 105a made of a dielectric material, and a plurality of fine holes A having a diameter equal to or less than twice a thickness of a sheath are formed in the upper base 105a.
    Type: Application
    Filed: March 14, 2011
    Publication date: September 22, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Daisuke Hayashi, Shoichiro Matsuyama, Koichi Murakami
  • Patent number: 8021514
    Abstract: A plasma processing chamber particularly useful for pre-treating low-k dielectric films and refractory metal films subject to oxidation prior to deposition of other layers. A remote plasma source (RPS) excites a processing gas into a plasma and delivers it through a supply tube to a manifold in back of a showerhead faceplate. The chamber is configured for oxidizing and reducing plasmas in the same or different processes when oxygen and hydrogen are selectively supplied to the RPS. The supply tube and showerhead may be formed of dielectric oxides which may be passivated by a water vapor plasma from the remote plasma source. In one novel process, a protective hydroxide coating is formed on refractory metals by alternating neutral plasmas of hydrogen and oxygen.
    Type: Grant
    Filed: July 11, 2007
    Date of Patent: September 20, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Xinyu Fu, Jick M. Yu
  • Patent number: 8016975
    Abstract: An etching system. An etching chamber includes an exhaust port. A gas input pipe is connected to the etching chamber, inputting etching process gas thereinto. A top RF electrode is disposed above the etching chamber. A bottom RF electrode is disposed under the etching chamber and opposite the top RF electrode. The etching process gas is transformed into plasma by operation of the top and bottom RF electrodes. An exhaust pump is connected to the exhaust port, expelling the plasma from the etching chamber. A base is disposed in the etching chamber. A focus ring is disposed on the base, accommodating a wafer. The wafer is etched by the plasma. A barricade is disposed on the focus ring and corresponds to the exhaust port, regulating the plasma flowing through the wafer.
    Type: Grant
    Filed: August 19, 2010
    Date of Patent: September 13, 2011
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Tu-Yen Huang, Yi-Hong Chen, Ta Chin Lee, Shang-Sheng Wu, Chiun-Tong Su
  • Publication number: 20110214814
    Abstract: There is provided a plasma processing apparatus including: a shower head installed within a processing chamber for processing a substrate and facing a mounting table for mounting the substrate; a multiple number of gas exhaust holes formed through the shower head to be extended from a facing surface of the shower head to an opposite surface to the facing surface; a multiple number of openable and closable trigger holes formed through the shower head to be extended from the facing surface of the shower head to the opposite surface, and configured to allow plasma leakage from the facing surface to the opposite surface; and a partition wall installed in a gas exhaust space provided on the side of the opposite surface of the shower head to divide the gas exhaust space into a multiple number of regions, each region communicating with one or more trigger holes.
    Type: Application
    Filed: February 28, 2011
    Publication date: September 8, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Hachishiro Iizuka, Jun Abe, Akihiro Yokota, Takeshi Ohse
  • Publication number: 20110203735
    Abstract: A gas injection system provided in a plasma etching equipment is provided. The system includes a top gas injector for supplying a reaction gas at a top of a chamber, and a side gas injector for supplying a tuning gas from a side surface of the chamber or a backside gas injector upward jetting a tuning gas from a lower side of a wafer. The side gas injector or backside gas injector forms a plurality of jets in a radial shape and simultaneously installs the jets adjacently to an edge part of a wafer such that a tuning gas is jetted adjacently to the edge part of the wafer, thereby being capable of easily controlling a an etch rate or CD uniformity or profile of the edge part.
    Type: Application
    Filed: February 23, 2011
    Publication date: August 25, 2011
    Inventors: Seongsul SEO, Sungyong KO, Yunsook CHAE, Hwankook CHAE, Keehyun KIM, Weonmook LEE
  • Patent number: 8002895
    Abstract: A heat processing apparatus for a semiconductor process includes a reaction tube including a process field configured to store a plurality of target substrates stacked at intervals. A gas supply duct is integrally provided outside the wall of the reaction tube to extend vertically in a range that covers the process field. A plurality of gas delivery holes are formed in the side portion of the wall of the reaction tube, to be vertically arrayed in a range that covers the process field and communicate with the gas supply duct. A gas supply system is connected to a bottom portion of the gas supply duct to supply a process gas to the process field through the gas supply duct and the plurality of gas delivery holes.
    Type: Grant
    Filed: August 2, 2007
    Date of Patent: August 23, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Hisashi Inoue, Atsushi Endo
  • Publication number: 20110198034
    Abstract: Described herein are exemplary methods and apparatuses for fabricating a gas distribution showerhead assembly in accordance with one embodiment. In one embodiment, a method includes providing a gas distribution plate having a first set of through-holes for delivering processing gases into a semiconductor processing chamber. The first set of through-holes is located on a backside of the plate (e.g., Aluminum substrate). The method includes spraying (e.g., plasma spraying) a coating material (e.g., Ytrria based material) onto a cleaned surface of the gas distribution plate. The method includes removing (e.g., surface grinding) a portion of the coating material from the surface to reduce a thickness of the coating material. The method includes forming (e.g., UV laser drilling, machining) a second set of through-holes in the coating material such that the through-holes are aligned with the first-set of through-holes.
    Type: Application
    Filed: January 21, 2011
    Publication date: August 18, 2011
    Inventors: Jennifer Sun, Senh Thach, Ren-Guan Duan, Thomas Graves
  • Patent number: 7992518
    Abstract: A showerhead for use in a capacitively-coupled plasma chamber and made of low resistivity bulk layer coated with CVD SiC. The bulk low resitivity material may be, for example, graphite, Silicon Carbide (SiC), converted graphite, SiC+C, etc. Sintered SiC may be used as the bulk material coated with CVD SiC to provide a showerhead that is suitable for use in a capacitively-coupled plasma chamber.
    Type: Grant
    Filed: March 21, 2007
    Date of Patent: August 9, 2011
    Assignee: Advanced Micro-Fabrication Equipment, Inc. Asia
    Inventors: Robert Wu, Tuqiang Ni
  • Publication number: 20110186229
    Abstract: Screws 4 are inserted from a bottom surface of a ceiling plate 32 and screwed to a base plate 31, and the ceiling plate 32 and the base plate 31 are press-connected to each other by an elastic restoring force of an elastic member 51 interposed between a head of the screw 4 and the ceiling plate 32. A gap is formed between the head and the ceiling plate 32. Further, a periphery of the head is covered with a cover via a ring-shaped elastic member 52. In another embodiment, a periphery of a base plate 31 is protruded from a periphery of a ceiling plate 32, and the protruded portion of the base plate 31 and a ring-shaped clamp positioned at an outer side of the ceiling plate 32 are joined by screws. Here, an elastic member is interposed between the clamp and the ceiling plate 32.
    Type: Application
    Filed: February 3, 2011
    Publication date: August 4, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Daisuke Hayashi, Koichi Murakami
  • Publication number: 20110186228
    Abstract: A showerhead is disclosed in this invention. The showerhead includes a bottom portion, at least one plate, and a top portion. The bottom portion includes a plurality of gas tubes which are integratedly formed on the bottom portion. The gas tubes include at least one first gas tube. The at least one plate includes a first plate. The first plate includes a plurality of first openings, wherein the gas tubes pass through the first openings. The top portion is coupled to the bottom portion for forming at least one inner space.
    Type: Application
    Filed: April 9, 2010
    Publication date: August 4, 2011
    Applicant: HERMES-EPITEK CORPORATION
    Inventors: Chien-Ping Huang, Tsan-Hua Huang
  • Patent number: 7988813
    Abstract: A method and system for dynamically controlling a process chemistry above a substrate is described. The system for adjusting the process chemistry comprises a ring configured to surround a peripheral edge of a substrate in a vacuum processing system. The ring comprises one or more gas distribution passages formed within the ring and configured to supply an additive process gas through an upper surface of the ring to the peripheral region of the substrate, wherein the one or more gas distribution passages are configured to be coupled to one or more corresponding gas supply passages formed within the substrate holder upon which the ring rests.
    Type: Grant
    Filed: March 12, 2007
    Date of Patent: August 2, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Lee Chen, Radha Sundararajan, Merritt Funk
  • Patent number: 7988816
    Abstract: A plasma etching apparatus includes an upper electrode and a lower electrode, between which plasma of a process gas is generated to perform plasma etching on a wafer W. The apparatus further comprises a cooling ring disposed around the wafer, a correction ring disposed around the cooling ring, and a variable DC power supply directly connected to the correction ring, the DC voltage being preset to provide the correction ring with a negative bias, relative to ground potential, for attracting ions in the plasma and to increase temperature of the correction ring to compensate for a decrease in temperature of a space near the edge of the target substrate due to the cooling ring.
    Type: Grant
    Filed: June 21, 2005
    Date of Patent: August 2, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Akira Koshiishi, Masaru Sugimoto, Kunihiko Hinata, Noriyuki Kobayashi, Chishio Koshimizu, Ryuji Ohtani, Kazuo Kibi, Masashi Saito, Naoki Matsumoto, Yoshinobu Ooya, Manabu Iwata, Daisuke Yano, Yohei Yamazawa, Hidetoshi Hanaoka, Toshihiro Hayami, Hiroki Yamazaki, Manabu Sato
  • Publication number: 20110174778
    Abstract: A plasma processing apparatus performs a process on a substrate by using plasma. The plasma processing apparatus includes a processing chamber; a mounting table which is located in the processing chamber and on which a substrate is mounted; a gas shower head formed of a conductive material provided to face the mounting table and having at the bottom surface thereof a plurality of gas injection openings for supplying a processing gas into the processing chamber; an induction coil to which a high frequency current is supplied to generate an inductively coupled plasma in a region surrounding a space below the gas shower head; a negative voltage supplying unit for applying a negative DC voltage to the gas shower head to allow an electrical field, which is induced by the induction coil, to be drawn to a central portion of the processing region; and a unit for evacuating the processing chamber.
    Type: Application
    Filed: July 7, 2009
    Publication date: July 21, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Ikuo Sawada, Songyun Kang, Shigeru Kasai
  • Patent number: 7981216
    Abstract: A vacuum processing apparatus, including a reactor and a partitioning plate having a plurality of through-holes through which radicals are allowed to pass and separating the reactor into a plasma generating space and a substrate process space, the process, such as a film deposition process, being carried out on a substrate placed in the substrate process space by delivering a gas into the plasma generating space for generating a plasma, producing radicals with the plasma thus generated, and delivering the radicals through the plurality of through-holes on the partitioning plate into the substrate process space. The partitioning plate includes a partitioning body having a plurality of through-holes and a control plate disposed on the plasma generating space side of the partitioning body and having radical passage holes in the positions corresponding to the plurality of through-holes on the partitioning plate.
    Type: Grant
    Filed: March 16, 2005
    Date of Patent: July 19, 2011
    Assignees: Canon Anelva Corporation, NEC Corporation
    Inventors: Keiji Ishibashi, Masahiko Tanaka, Akira Kumagai, Manabu Ikemoto, Katsuhisa Yuda
  • Patent number: 7976631
    Abstract: A method and apparatus that may be utilized for chemical vapor deposition and/or hydride vapor phase epitaxial (HVPE) deposition are provided. In one embodiment, a metal organic chemical vapor deposition (MOCVD) process is used to deposit a Group III-nitride film on a plurality of substrates. A Group III precursor, such as trimethyl gallium, trimethyl aluminum or trimethyl indium and a nitrogen-containing precursor, such as ammonia, are delivered to a plurality of straight channels which isolate the precursor gases. The precursor gases are injected into mixing channels where the gases are mixed before entering a processing volume containing the substrates. Heat exchanging channels are provided for temperature control of the mixing channels to prevent undesirable condensation and reaction of the precursors.
    Type: Grant
    Filed: October 16, 2007
    Date of Patent: July 12, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Brian H. Burrows, Alexander Tam, Ronald Stevens, Kenric T. Choi, James D. Felsch, Jacob Grayson, Sumedh Acharya, Sandeep Nijhawan, Lori D. Washington, Nyi O. Myo
  • Patent number: 7976671
    Abstract: A plasma reactor for processing a workpiece such as a mask or wafer includes a vacuum chamber having a cylindrical side wall, a ceiling overlying the side wall and a ring supported on a top edge of the side wall and supporting the ceiling, the ring comprising an external surface and an interior surface. An RF plasma source power applicator and an RF source power generator coupled to the applicator furnish plasma source power. Plural passages extend in a radial direction through the ring from the external surface to the interior surface and are spaced apart along a circumference of the ring. A process gas supply furnishes process gas. An external gas flow conduit apparatus outside of the chamber extends around a circumference of the chamber and is coupled to the process gas supply.
    Type: Grant
    Filed: October 30, 2006
    Date of Patent: July 12, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Madhavi R. Chandrachood, Michael N. Grimbergen, Khiem K. Nguyen, Richard Lewington, Ibrahim M. Ibrahim, Sheeba J. Panayil, Ajay Kumar
  • Publication number: 20110162800
    Abstract: Reconfigurable showerheads used in process chambers for substrate processing are provided herein. In some embodiments, a reconfigurable showerhead may include a body having one or more plenums disposed therein; and one or more inserts configured to be disposed within the one or more plenums, wherein the one or more inserts divide the reconfigurable showerhead into a plurality of zones. In some embodiments, a substrate processing system may include a process chamber having a reconfigurable showerhead coupled to a gas supply for providing one or more process gases to the process chamber, the reconfigurable showerhead including a body having one or more plenums disposed therein and one or more inserts configured to be disposed within the one or more plenums, wherein the one or more inserts divide the reconfigurable showerhead into a plurality of zones.
    Type: Application
    Filed: October 6, 2010
    Publication date: July 7, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Hamid Noorbakhsh, James D. Carducci
  • Publication number: 20110139372
    Abstract: Vacuum processing chambers having provisions for thermal expansion and contraction. Specific embodiments provide a plasma processing chamber having a showerhead that enables thermal expansion and contraction without imparting structural stress on the chamber body and without breaking any vacuum seal.
    Type: Application
    Filed: December 10, 2010
    Publication date: June 16, 2011
    Inventors: Wendell Thomas BLONIGAN, Michael Allen Renta
  • Publication number: 20110126405
    Abstract: An electrical ground (36) of an RF impedance matching network (33) is connected to a connection area (50) on the grounded chamber cover (18) of a plasma chamber. The connection area is offset away from the center of the chamber cover toward a workpiece passageway (20). Alternatively, an RF power supply (30) has an electrically grounded output (32) that is connected to a connection area (52) on the chamber cover having such offset. Alternatively, an RF transmission line (37) has an electrically grounded conductor (39) that is connected between a grounded output of an RF power supply and a connection area (52) on the chamber cover having such offset.
    Type: Application
    Filed: September 28, 2010
    Publication date: June 2, 2011
    Inventors: Jonghoon Baek, Beom Soo Park, Sam Hyungsam Kim
  • Publication number: 20110120651
    Abstract: Showerhead assemblies with improved impact protection are provided herein. In some embodiments, a showerhead assembly includes a body having a plenum disposed therein, the body having a plurality of first holes extending from the plenum to a substrate facing surface of the body; a plate disposed on the substrate facing surface of the body and having a plurality of second holes formed therethrough, each second hole corresponding with a respective first hole of the body; and a lip extending from the body and circumscribing the plate, the lip extending beyond a chamber facing surface of the plate. In some embodiments, the showerhead assembly is disposed in the inner volume of a process chamber.
    Type: Application
    Filed: September 28, 2010
    Publication date: May 26, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventors: ALEX ERENSTEIN, MICHAEL D. WILLWERTH, DAVID PALAGASHVILI
  • Patent number: 7939778
    Abstract: A plasma processing chamber, which includes an upper electrode assembly, a lower electrode assembly, and a plasma confinement assembly. The upper electrode assembly includes an upper electrode, a backing member, the backing member attachable to an upper surface of the upper electrode, and a guard ring surrounding an outer surface of the backing member and located above the upper surface of the upper electrode, wherein the guard ring is configured to provide an inner gap between the outer surface of the backing member and an inner periphery of the guard ring. The lower electrode assembly is adapted to receive a semiconductor substrate. The plasma confinement assembly is separated from an outer periphery of the upper electrode and the backing member by the guard ring.
    Type: Grant
    Filed: January 22, 2009
    Date of Patent: May 10, 2011
    Assignee: Lam Research Corporation
    Inventors: Dean J. Larson, Daniel Brown, Saurabh J. Ullal
  • Patent number: 7931749
    Abstract: The present invention relates to a showerhead that supplies a source gas and a supporting gas for depositing a film into a processing vessel of a film deposition apparatus. The showerhead includes a body which is provided with a gas jetting surface (8). In the showerhead body, there are defined a first diffusion chamber (60) that receives the source gas and diffuses the same, and a second diffusion chamber (62) that receives the supporting gas and diffuses the same. The gas jetting surface has source-gas jetting orifices (10A) that are in communication with the first diffusion chamber, and first supporting-gas jetting orifices (10B) that are in communication with the second diffusion chamber. Each of the first supporting-gas jetting orifices (10B) are formed into a ring shape that adjacently surrounds a corresponding one of the source-gas jetting orifices (10A).
    Type: Grant
    Filed: October 22, 2004
    Date of Patent: April 26, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Manabu Amikura, Teruo Iwata
  • Publication number: 20110088847
    Abstract: A showerhead for a plasma process apparatus for processing substrates, comprising a showerhead body comprising a top plate and a bottom plate defining a cavity in between; a gas inlet formed in the top plate; a perforated plate positioned between the top plate and the bottom plate and dissecting the cavity into an upper gas compartment and a lower gas compartment; and, wherein the bottom plate comprises a plurality of elongated diffusion slots on its lower surface and a plurality of diffusion holes on its upper surface, each of the diffusion holes making fluid connection from the lower gas compartment to more than one of the diffusion slots.
    Type: Application
    Filed: October 15, 2010
    Publication date: April 21, 2011
    Inventors: Kam S. LAW, Masato Toshima, Wendell Thomas Blonigan, Linh Can, Robin K. F. Law
  • Patent number: 7927423
    Abstract: A vapor deposition system includes a filter-diffuser device connected to a vapor inlet within a vacuum chamber for simultaneously filtering inflowing vapor to remove particulate matter while injecting vapor containing perfluordecanoic acid (PFDA) into the chamber through radially arranged porous metal filters to enable the deposition of a uniform monolayer of PFDA molecules onto the surfaces of a micromechanical device, such as a digital micromirror device.
    Type: Grant
    Filed: May 25, 2005
    Date of Patent: April 19, 2011
    Inventor: Kenneth A. Abbott
  • Publication number: 20110083809
    Abstract: An inner electrode of a showerhead electrode assembly useful for plasma etching includes features providing improved positioning accuracy and reduced warping, which leads to enhanced uniformity of plasma processing rate. The assembly can include a thermal gasket set and fasteners such as bolts or cam locks located on a radius of ¼ to ½ the radius of the inner electrode. A method of assembling the inner electrode and gasket set to a supporting member is also provided.
    Type: Application
    Filed: October 13, 2010
    Publication date: April 14, 2011
    Applicant: Lam Research Corporation
    Inventors: Anthony de la Llera, Pratik Mankidy
  • Patent number: 7922864
    Abstract: A tube-array showerhead for CVD or PECVD on large substrates delivers precursors to a process chamber via an array of tubes drilled with precision holes. The tubes rapidly become contaminated with use and must be changed frequently to maintain process quality. An improved manifold for a tube-array showerhead, intended for processes with a low pressure differential between the tubes and process chamber, includes holding-stubs to hold each tube by its ends outside the manifold block. At least one holding-stub for each tube is spring-loaded along the direction of the tube's operating axis. Contaminated tubes can be removed, and clean tubes installed, without disassembling the manifolds or disturbing any high-pressure-differential seals to the ambient atmosphere or precursor supplies. This invention reduces production costs by decreasing chamber down-time and reducing the risk of creating leaks when tubes are changed.
    Type: Grant
    Filed: November 20, 2007
    Date of Patent: April 12, 2011
    Assignee: OptiSolar, Inc.
    Inventor: James S. Harroun