Showerhead-type Patents (Class 156/345.34)
  • Patent number: 7922863
    Abstract: An apparatus for photo-assisted or photo-induced processes is disclosed, comprising a process chamber having an integrated gas and radiation distribution plate. In one embodiment, the plate has one set of apertures for distributing one or more process gases, and another set of apertures for distributing radiation to a process region in the chamber.
    Type: Grant
    Filed: December 22, 2006
    Date of Patent: April 12, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Martin John Ripley, Sean M. Seutter
  • Patent number: 7918938
    Abstract: A system and method for distributing one or more gases to an atomic layer deposition (ALD) reactor. An integrated inlet manifold block mounted over a showerhead assembly includes high temperature (up to 200° C.) rated valves mounted directly thereto, and short, easily purged reactant lines. Integral passageways and metal seals avoid o-rings and attendant dead zones along flow paths.
    Type: Grant
    Filed: January 17, 2007
    Date of Patent: April 5, 2011
    Assignee: ASM America, Inc.
    Inventors: Timothy J. Provencher, Craig B. Hickson
  • Publication number: 20110067815
    Abstract: A plasma processing apparatus includes a shower head that is installed within a processing chamber for processing a substrate therein so as to face a mounting table for mounting the substrate thereon and supplies a gas toward the substrate in a shower pattern through a plurality of gas discharge holes provided in a facing surface of the shower head facing the mounting table; a plurality of gas exhaust holes formed through the shower head to be extended from the facing surface of the shower head to an opposite surface from the facing surface; a multiple number of rod-shaped magnet pillars standing upright in a gas exhaust space communicating with the gas exhaust holes on the side of the opposite surface; and a driving unit that varies a distance between the magnet pillars and the gas exhaust holes by moving at least a part of the magnet pillars.
    Type: Application
    Filed: September 23, 2010
    Publication date: March 24, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Hachishiro Iizuka, Jun Abe, Yuki Mochizuki
  • Patent number: 7909961
    Abstract: A method and apparatus for etching photomasks are provided herein. The apparatus includes a process chamber with a shield above a substrate support. The shield comprises a plate with apertures, and the plate has two zones with at least one characteristic, such as material or potential bias, that is different from each other. The method provides for etching a photomask substrate with a distribution of ions and neutral species that pass through the shield.
    Type: Grant
    Filed: October 30, 2006
    Date of Patent: March 22, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Ajay Kumar, Madhavi R. Chandrachood, Richard Lewington, Darin Bivens, Amitabh Sabharwal, Sheeba J. Panayil, Alan Hiroshi Ouye
  • Publication number: 20110061813
    Abstract: A plasma processing apparatus includes a shower head that supplies a gas toward a substrate in a shower pattern through a plurality of gas discharge holes provided in a facing surface of the shower head facing a mounting table; a multiple number of gas exhaust holes provided in the facing surface of the shower head; a vertically movable ring-shaped member that is installed along a circumference of the mounting table and is configured to form, at a raised position, a processing space surrounded by the mounting table, the shower head and the ring-shaped member; a multiplicity of gas supply holes opened in an inner wall of the ring-shaped member to supply a gas into the processing space; and a plurality of gas exhaust holes opened in an inner wall of the ring-shaped member to evacuate the processing space.
    Type: Application
    Filed: September 16, 2010
    Publication date: March 17, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Hachishiro Iizuka, Yuki Mochizuki, Jun Abe
  • Publication number: 20110061812
    Abstract: Apparatus and methods for the manufacture of semiconductor devices suitable for narrow pitch applications and methods of fabrication thereof are described herein. Disclosed are various single chambers configured to form and/or shape a material layer by oxidizing a surface of a material layer to form an oxide layer; removing at least some of the oxide layer by an etching process; and cyclically repeating the oxidizing and removing processes until the material layer is formed to a desired shape. In some embodiments, the material layer may be a floating gate of a semiconductor device.
    Type: Application
    Filed: March 10, 2010
    Publication date: March 17, 2011
    Applicant: Applied Materials, Inc.
    Inventors: Udayan Ganguly, Yoshitaka Yokota, Christopher S. Olsen, Matthew D. Scotney-Castle, Vicky Nguyen, Swaminathan Srinivasan, Wei Liu, Johanes F. Swenberg, Jose A. Marin, Aijit Balakrishna, Jacob Newman, Stephen C. Hickerson
  • Patent number: 7905959
    Abstract: A lid assembly for a semiconductor processing system is provided. The lid assembly generally includes a lid having first and second opposed surfaces, a plurality of controllable flow channels extending from the first and second opposed surfaces and a gas control system disposed on the first surface and operably opening and closing the channels. The gas control system includes a gas manifold disposed on the lid, at least one valve coupled to the gas manifold and adapted to control a flow through one of the flow channels, a reservoir fluidly connected to the gas manifold, and a precursor source fluidly connected to the reservoir.
    Type: Grant
    Filed: November 19, 2004
    Date of Patent: March 15, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Gwo-Chuan Tzu, Salvador P. Umotoy
  • Publication number: 20110048642
    Abstract: In a plasma processing apparatus for processing a substrate by plasmatizing a process gas introduced into a processing container, an introducing unit which introduces the process gas is formed on a ceiling surface of the processing container; a gas retention portion which gathers the process gas supplied from the outside of the processing container through a supply passage, and a plurality of gas ejection holes which allow communication between the gas retention portion and the inside of the processing container are formed in the introducing unit; a gas ejection hole is not formed in a location of the gas retention portion that faces an opening of the supply passage; and a cross section of each of the gas ejection holes has a flat shape.
    Type: Application
    Filed: August 25, 2010
    Publication date: March 3, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Naoki MIHARA, Naoki MATSUMOTO, Jun YOSHIKAWA, Kazuo MURAKAMI
  • Patent number: 7892358
    Abstract: A system for introducing a precursor vapor to a processing chamber configured for forming a thin metal on a substrate is described. The vapor delivery system includes means for introducing a dilution gas to the precursor vapor and adjusting the spatial distribution of the dilution gas addition in order to affect improvements to the properties of the deposited film.
    Type: Grant
    Filed: March 29, 2006
    Date of Patent: February 22, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Kenji Suzuki, Atsushi Gomi
  • Patent number: 7887670
    Abstract: The present invention provides a gas introducing mechanism and a processing apparatus for processing an object to be processed, which can supply a gas uniformly over the whole region of a processing space so as to enhance uniformity of a process in the surface of the object to be processed. The gas introducing mechanism 50, which is adapted to provide a process to the object W to be processed, by using the gas, in a processing vessel 4, includes a gas introducing ring member 54 for introducing the gas from the exterior of the processing vessel 4, a disk-like rotary base 56 provided rotatably below a top plate 48 in the processing vessel 4, and a ring-shaped gas injection ring member 60 provided around a rotary base 56 so as to be closer and opposed to the gas introducing ring member 54. A gas injecting slit 58 is provided in the ring-shaped gas injection ring member 60, the slit 58 being formed along the circumferential direction of the rotary base.
    Type: Grant
    Filed: November 21, 2007
    Date of Patent: February 15, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Kenjiro Koizumi, Naoki Yoshii
  • Patent number: 7879182
    Abstract: A system for processing a substrate uniformly by increasing the number of gas discharge holes being arranged per unit area of a shower plate as receding from the center of the shower plate or increasing the radii of the gas discharge holes as receding from the center of the shower plate thereby making the plasma excitation gas flow uniform.
    Type: Grant
    Filed: September 24, 2004
    Date of Patent: February 1, 2011
    Assignee: Foundation for Advancement of International Science
    Inventors: Tadahiro Ohmi, Masaki Hirayama, Tetsuya Goto
  • Patent number: 7879183
    Abstract: Embodiments of the present invention provide apparatus and method for front side protection while processing side and backside of a substrate. One embodiment of the present invention provides a showerhead configured to provide a purge gas to a front side of a substrate during a backside etch processing. The showerhead comprises a body configured to be disposed over the front side of the substrate. The body has a process surface configured to face the front side of the substrate. The process surface has an outer circular region, a central region, a middle region between the outer central region and the central region. The first plurality of holes are distributed in the outer circular region and configured to direct the purge gas towards an edge area of the front side of the substrate. No gas delivery hole is distributed within a substantial portion of the middle region.
    Type: Grant
    Filed: February 27, 2008
    Date of Patent: February 1, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Imad Yousif, Ying Rui, Nancy Fung, Martin Jeffrey Salinas, Ajit Balakrishna, Anchel Sheyner, Shahid Rauf, Walter R. Merry
  • Patent number: 7875824
    Abstract: An electrode assembly and method of centering an outer ring around an electrode assembly in a plasma reaction chamber used in semiconductor substrate processing. The method includes positioning the outer ring around an outer surface of a backing member of the electrode assembly, and inserting at least one centering element between the outer ring and the backing member. The centering element can be a plurality of spring-loaded centering elements received in a cavity on the outer surface of the backing member, the centering elements having a first end adapted to contact the outer ring and a second end adapted to receive a spring. The outer ring surrounds an outer surface of the backing member, such that the plurality of spring-loaded centering elements are positioned between the outer surface of the backing member and an inner surface of the outer ring.
    Type: Grant
    Filed: February 2, 2007
    Date of Patent: January 25, 2011
    Assignee: Lam Research Corporation
    Inventors: Dean J. Larson, Daniel Brown, Keith Comendant, Victor Wang
  • Publication number: 20110005682
    Abstract: Apparatus and method for plasma-based processing well suited for deposition, etching, or treatment of semiconductor, conductor or insulating films. Plasma generating units include one or more elongated electrodes on the processing side of a substrate and a neutral electrode proximate the opposite side of the substrate. Gases may be injected proximate a powered electrode which break down electrically and produce activated species that flow toward the substrate area. This gas then flows into an extended process region between powered electrodes and substrate, providing controlled and continuous reactivity with the substrate at high rates with efficient utilization of reactant feedstock. Gases are exhausted via passages between powered electrodes or electrode and divider.
    Type: Application
    Filed: July 8, 2010
    Publication date: January 13, 2011
    Inventors: Stephen Edward Savas, Carl Galewski, Allan B. Wiesnoski, Sai Mantripragada, Sooyun Joh
  • Patent number: 7862683
    Abstract: An apparatus and method for improving the chamber dry cleaning of a PECVD system. The apparatus includes an annular gas ring with multiple outlets for introducing a cleaning gas into the process chamber, and the method includes using the gas ring to introduce a cleaning species from a remote plasma source into the processing chamber.
    Type: Grant
    Filed: December 2, 2005
    Date of Patent: January 4, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Noriaki Fukiage
  • Patent number: 7862682
    Abstract: Showerhead electrode assemblies are disclosed, which include a showerhead electrode adapted to be mounted in an interior of a vacuum chamber; an optional backing plate attached to the showerhead electrode; a thermal control plate attached to the backing plate or to the showerhead electrode at multiple contact points across the backing plate; and at least one thermally and electrically conductive gasket separating the backing plate and the thermal control plate, or the backing plate and showerhead electrode, at the contact points. Methods of processing semiconductor substrates using the showerhead electrode assemblies are also disclosed.
    Type: Grant
    Filed: August 31, 2007
    Date of Patent: January 4, 2011
    Assignee: Lam Research Corporation
    Inventors: Thomas R. Stevenson, Anthony de le Llera, Saurabh Ullal
  • Publication number: 20100319855
    Abstract: Disclosed are a substrate supporting unit, a substrate processing apparatus, and a method of manufacturing the substrate supporting unit. The substrate supporting unit includes a susceptor (12) provided with heaters (15a, 16b) to heat a substrate placed on the susceptor (12), and including a first temperature region and a second temperature region having a higher temperature than that of the first temperature region; and a heat dissipating member (20) including a contact surface (21) being in thermal contact with the second temperature region. The heat dissipating member (20) further includes an opening (23) corresponding to the first temperature region. The heat dissipating member (20) formed in a ring shape, in which the opening (23) is surrounded with the contact surface (21), and the contact surface (21) of the heat dissipating member (20) makes thermal contact with the lower surface of the susceptor (12).
    Type: Application
    Filed: February 3, 2009
    Publication date: December 23, 2010
    Applicant: EUGENE TECHNOLOGY CO., LTD.
    Inventors: Dong-Keun Lee, Kyung-Jin Chu, Sung-Tae Je, Il-Kwang Yang
  • Publication number: 20100319854
    Abstract: In a plasma processing apparatus conducting surface processing on a sample to be processed with plasma, an upper electrode includes a shower plate having first gas holes bored through it, a conductor plate disposed at back of the shower plate and having second gas holes bored through it, an insulation plate disposed in a center part of the conductor plate and having third gas holes bored through it, and an antenna basic member unit disposed at back of the conductor plate and having a temperature control function unit and a gass distribution unit. First and second minute gaps are formed in a radial direction at an interface between the shower plate and the insulation plate, and at an interface between the insulation plate and the conductor plate, respectively. Centers of the first gas holes are shifted from centers of the third gas holes in a circumference or radial direction.
    Type: Application
    Filed: August 25, 2009
    Publication date: December 23, 2010
    Inventors: Kenetsu YOKOGAWA, Kenji Maeda, Tomoyuki Tamura
  • Patent number: 7854820
    Abstract: Components of a plasma processing apparatus includes a backing member with gas passages attached to an upper electrode with gas passages. To compensate for the differences in coefficient of thermal expansion between the metallic backing member and upper electrode, the gas passages are positioned and sized such that they are misaligned at ambient temperature and substantially concentric at an elevated processing temperature. Non-uniform shear stresses can be generated in the elastomeric bonding material, due to the thermal expansion. Shear stresses can either be accommodated by applying an elastomeric bonding material of varying thickness or using a backing member comprising of multiple pieces.
    Type: Grant
    Filed: December 15, 2006
    Date of Patent: December 21, 2010
    Assignee: Lam Research Corporation
    Inventors: Anthony De La Llera, Allan K. Ronne, Jaehyun Kim, Jason Augustino, Rajinder Dhindsa, Yen-Kun Wang, Saurabh J. Ullal, Anthony J. Norell, Keith Comendant, William M. Denty, Jr.
  • Patent number: 7850779
    Abstract: Embodiments of the invention provide an apparatus configured to form a material during an atomic layer deposition (ALD) process, such as a plasma-enhanced ALD (PE-ALD) process. In one embodiment, a lid assembly for conducting a vapor deposition process within a process chamber is provided which includes an insulation cap and a plasma screen. In one example, the insulation cap has a centralized channel configured to flow a first process gas from an upper surface to an expanded channel and an outer channel configured to flow a second process gas from an upper surface to a groove which is encircling the expanded channel. In one example, the plasma screen has an upper surface containing an inner area with a plurality of holes and an outer area with a plurality of slots. The insulation cap may be positioned on top of the plasma screen to form a centralized gas region with the expanded channel and a circular gas region with the groove.
    Type: Grant
    Filed: November 6, 2006
    Date of Patent: December 14, 2010
    Assignee: Applied Materisals, Inc.
    Inventors: Paul Ma, Kavita Shah, Dien-Yeh Wu, Seshadri Ganguli, Christophe Marcadal, Frederick C. Wu, Schubert S. Chu
  • Patent number: 7850819
    Abstract: The invention relates to a plasma reactor with high productivity for surface coating or modification of objects and/or substrates by plasma processes in a processing chamber, preferably as vacuum processes at reduced pressure, having an entrance lock to the processing chamber and an exit lock. The invention is to create a plasma reactor of high productivity, which, with uniformly high productivity, will make possible a rapid simple and selective cleaning of the plasma sources and adjacent parts of the processing chamber. According to the invention, two plasma sources (1, 2) are provided, each alternately couplable to a reaction chamber (7) or a re-etching chamber (8). The plasma sources (1, 2) are fixed for this purpose to an alternating means (6) in such manner that the plasma sources (1, 2) are positionable by a rotatory motion of the alternating means (6) in the reaction chamber (7) or the re-etching chamber (8).
    Type: Grant
    Filed: April 18, 2005
    Date of Patent: December 14, 2010
    Assignee: Centrotherm Photovoltaics AG
    Inventors: Harald Wanka, Johann Georg Reichart, Hans-Peter Voelk
  • Publication number: 20100307686
    Abstract: Provided is a substrate processing apparatus capable of effectively heating each component without generating an abnormal electric discharge. The substrate processing apparatus 10 includes: a depressurizable processing chamber 11; a susceptor 12 provided within the processing chamber 11; a shower head 27 provided at a ceiling portion of the processing chamber 11 so as to face the susceptor 12; a focus ring 24 provided at an outer peripheral portion of a top surface of the susceptor 12; and a ring-shaped infrared radiant heater 26 provided in a vicinity of the focus ring 24. The heater 26 includes an infrared radiator 26a and a quartz ring 26b for sealing the infrared radiator 26a therein.
    Type: Application
    Filed: June 4, 2010
    Publication date: December 9, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Hachishiro Iizuka, Yuki Mochizuki
  • Publication number: 20100307687
    Abstract: An internal member of a plasma processing vessel includes a base material and a film formed by thermal spraying of ceramic on a surface of the base material. The film is formed of ceramic which includes at least one kind of element selected from the group consisting of B, Mg, Al, Si, Ca, Cr, Y, Zr, Ta, Ce and Nd. In addition, at least a portion of the film is sealed by a resin.
    Type: Application
    Filed: July 16, 2010
    Publication date: December 9, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Kouji MITSUHASHI, Hiroyuki Nakayama, Nobuyuki Nagayama, Tsuyoshi Moriya, Hiroshi Nagaike
  • Patent number: 7833350
    Abstract: An apparatus for treating a substrate includes a stage adapted to receive the substrate; a gas shield facing the substrate and having a retention space, the gas shield including: a top plate; a bottom plate facing the substrate and having pump holes around the retention space; and a middle plate between the top and bottom plates and having a first gas path communicating with the retention space and a second gas path communicating with the pump holes; an energy source facing the top plate such that light emitted therefrom irradiates a part of the substrate through the retention space; a reaction gas supplier connected to the first gas path; and a pressure adjusting device connected to the second gas path.
    Type: Grant
    Filed: September 22, 2005
    Date of Patent: November 16, 2010
    Assignee: LG. Display Co., Ltd.
    Inventors: Jong-Chul Lee, Je-Sup Lee, Sang-Hyuck Park
  • Patent number: 7827931
    Abstract: A plasma processor electrode includes a support member disposed to face to an electrode that holds a substrate to be treated, an electrode plate fixed to the support member and equipped with gas injection holes and a screw hole open and facing to the support member to supply a processing gas through the gas discharge hole into a processing space formed between the electrode plate and the electrode to generate a plasma in the processing space, and a fastening unit that clamps the electrode plate on the support member by fastening the electrode plate to the support member with a screw driven into the screw hole from the support member.
    Type: Grant
    Filed: March 27, 2003
    Date of Patent: November 9, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Keiichi Matsushima, Takashi Suzuki, Hajime Furuya
  • Patent number: 7829815
    Abstract: A adjustable upper coil or electrode for a reaction chamber apparatus useable in semiconductor processing, is constructed so that its shape may be selectively changed or so at least two portions thereof may be selectively driven at different power and/or frequencies. The adjustable upper coil or electrode, therefore, enables the plasma density distribution in the reaction chamber apparatus to be selectively controlled.
    Type: Grant
    Filed: September 22, 2006
    Date of Patent: November 9, 2010
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ying-Lin Chen, Chi-An Kao, Po-Zen Chen, Yi-Li Hsiao, Chen-Hua Yu, Jean Wang, Lawrance Sheu
  • Publication number: 20100276084
    Abstract: A gas distribution apparatus for a plasma processing equipment is provided. The gas distribution apparatus includes a support plate (3) and a showerhead electrode (5) that are secured together parallelly to define a chamber for gas distribution. A first gas distribution plate (4) is arranged in the chamber horizontally. On an upper surface of the gas distribution plate (4), at least one circumferential gas-flow groove (41) around its axis and a plurality of radial gas-flow grooves (42) communicating with the at least one circumferential gas-flow groove (41) is arranged. A plurality of axial viahole (43) are formed in the at least one circumferential gas-flow groove (41) and the plurality of radial gas-flow grooves (42). The gas distribution apparatus can achieve a uniform gas distribution in the plasma processing equipment.
    Type: Application
    Filed: January 9, 2009
    Publication date: November 4, 2010
    Inventor: Liqiang Yao
  • Patent number: 7819082
    Abstract: In a microwave plasma processing apparatus, a metal made lattice-like shower plate 111 is provided between a dielectric material shower plate 103, and a plasma excitation gas mainly an inert gas and a process gas are discharged form different locations. High energy ions can be incident on a surface of the substrate 114 by grounding the lattice-like shower plate. The thickness of each of the dielectric material separation wall 102 and the dielectric material at a microwave introducing part is optimized so as to maximize the plasma excitation efficiency, and, at the same time, the distance between the slot antenna 110 and the dielectric material separation wall 102 and a thickness of the dielectric material shower plate 103 are optimized so as to be capable of supplying a microwave having a large power.
    Type: Grant
    Filed: March 11, 2009
    Date of Patent: October 26, 2010
    Assignees: Tokyo Electron Limited
    Inventors: Tadahiro Ohmi, Masaki Hirayama
  • Publication number: 20100261354
    Abstract: An electrode assembly for a plasma reaction chamber used in semiconductor substrate processing. The assembly includes an upper showerhead electrode which is mechanically attached to a backing plate by a series of spaced apart cam locks. A thermally and electrically conductive gasket with projections thereon is compressed between the showerhead electrode and the backing plate at a location three to four inches from the center of the showerhead electrode. A guard ring surrounds the backing plate and is movable to positions at which openings in the guard ring align with openings in the backing plate so that the cam locks can be rotated with a tool to release locking pins extending from the upper face of the electrode.
    Type: Application
    Filed: April 10, 2009
    Publication date: October 14, 2010
    Applicant: Lam Research Corporation
    Inventors: GREGORY R. BETTENCOURT, Gautam Bhattacharyya, Simon Gosselin Eng, Sandy Chao
  • Patent number: 7811409
    Abstract: Bare aluminum baffles are adapted for resist stripping chambers and include an outer aluminum oxide layer, which can be a native aluminum oxide layer or a layer formed by chemically treating a new or used bare aluminum baffle to form a thin outer aluminum oxide layer.
    Type: Grant
    Filed: November 29, 2007
    Date of Patent: October 12, 2010
    Assignee: Lam Research Corporation
    Inventors: Fred D. Egley, Michael S. Kang, Anthony L. Chen, Jack Kuo, Hong Shih, Duane Outka, Bruno Morel
  • Publication number: 20100252197
    Abstract: A showerhead electrode includes inner and outer steps at an outer periphery thereof, the outer step cooperating with a clamp ring which mechanically attaches the electrode to a backing plate.
    Type: Application
    Filed: April 7, 2009
    Publication date: October 7, 2010
    Applicant: Lam Reseach Corporation
    Inventors: BABAK KADKHODAYAN, Anthony de la Llera
  • Publication number: 20100243166
    Abstract: A substrate processing apparatus includes: a depressurizable processing chamber 11; a shaft 26 supporting a facing electrode 24 provided within the processing chamber 11 while allowing the facing electrode 24 to be movable with respect to a mounting electrode 12; a first ring-shaped bellows 31 concentrically installed at an outer peripheral portion of the shaft 26; and a second bellows 32 concentrically installed at an outer peripheral portion of the first bellows 31. The first bellows 31 absorbs a displacement of the facing electrode 24 with respect to a wall surface 13 at a penetration portion where the shaft 26 penetrates the wall surface 13 of the processing chamber 11, and seals the inside of the processing chamber 11 against the ambient atmosphere around the shaft 26. A ring-shaped gas flow path 35 is formed by the first bellows 31 and the second bellows 32.
    Type: Application
    Filed: March 30, 2010
    Publication date: September 30, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Daisuke Hayashi
  • Publication number: 20100243165
    Abstract: Disclosed herein is an apparatus for surface-treating a wafer using high-frequency inductively-coupled plasma, including a process chamber including a plasma generation unit into which a reaction gas is introduced and which generates plasma, and a wafer treatment unit in which any one or more selected from among plasma treatment, thin film formation and etching is performed; and a pressure control unit including a vacuum plate, and a pumping port, a two-stage valve, a turbo pump and an APC valve which are organically connected with the vacuum plate, to control a pressure in the process chamber and a pumping rate.
    Type: Application
    Filed: November 1, 2007
    Publication date: September 30, 2010
    Inventor: Pyung-yong Um
  • Patent number: 7803246
    Abstract: An etching system. An etching chamber includes an exhaust port. A gas input pipe is connected to the etching chamber, inputting etching process gas thereinto. A top RF electrode is disposed above the etching chamber. A bottom RF electrode is disposed under the etching chamber and opposite the top RF electrode. The etching process gas is transformed into plasma by operation of the top and bottom RF electrodes. An exhaust pump is connected to the exhaust port, expelling the plasma from the etching chamber. A base is disposed in the etching chamber. A focus ring is disposed on the base, accommodating a wafer. The wafer is etched by the plasma. A barricade is disposed on the focus ring and corresponds to the exhaust port, regulating the plasma flowing through the wafer.
    Type: Grant
    Filed: April 3, 2007
    Date of Patent: September 28, 2010
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Tu-Yen Huang, Yi-Hong Chen, Ta Chin Lee, Shang-Sheng Wu, Chiun-Tong Su
  • Patent number: 7802539
    Abstract: A ceramic part having a surface exposed to the interior space, the surface having been shaped and plasma conditioned to reduce particles thereon by contacting the shaped surface with a high intensity plasma. The ceramic part can be made by sintering or machining a chemically deposited material. During processing of semiconductor substrates, particle contamination can be minimized by the ceramic part as a result of the plasma conditioning treatment. The ceramic part can be made of various materials such as alumina, silicon dioxide, quartz, carbon, silicon, silicon carbide, silicon nitride, boron nitride, boron carbide, aluminum nitride or titanium carbide.
    Type: Grant
    Filed: April 12, 2005
    Date of Patent: September 28, 2010
    Assignee: Lam Research Corporation
    Inventor: William Frederick Bosch
  • Patent number: 7799134
    Abstract: A shower plate 122 has protrusions 22 formed on the front face used with a first electrode in a plasma CVD apparatus. A plane-surface portion 23 is left around apertures of gas inlet holes 21 formed in the shower plate 122. With protrusions 22 being formed, a surface area of the first electrode is increased.
    Type: Grant
    Filed: February 18, 2005
    Date of Patent: September 21, 2010
    Assignee: ASM Japan K.K.
    Inventors: Naoto Tsuji, Hideaki Fukuda, Hiroki Arai, Yoshinori Morisada, Tamihiro Kobayashi
  • Publication number: 20100230051
    Abstract: A shower head is provided, in a processing chamber in which a substrate is processed, to face a mounting table for mounting the substrate thereon. The shower head includes: a facing surface that faces the mounting table to supply a gas to the substrate in a form of shower through a plurality of gas injection holes formed on the facing surface; an opposing surface provided opposite to the facing surface; and a plurality of bar-shaped heat transfer columns standing on the opposing surface. Here, the heat transfer columns have varying lengths and/or thicknesses to adjust heat capacities thereof. The heat transfer columns are made of one of aluminum, stainless steel, and copper.
    Type: Application
    Filed: March 8, 2010
    Publication date: September 16, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Hachishiro IIZUKA
  • Publication number: 20100230052
    Abstract: A shower head is provided, in a processing chamber in which a substrate is processed, to face a mounting table for mounting the substrate thereon. The shower head includes: a facing surface that faces the mounting table to supply a gas to the substrate in a form of shower through a plurality of gas injection holes formed on the facing surface; an opposing surface provided opposite to the facing surface; a plurality of gas exhaust holes extending between the facing surface and the opposing surface to perform gas exhaust from the facing surface toward the opposing surface; and a plurality of electrodes provided on the opposing surface, an ion-confining voltage being applied to the electrodes.
    Type: Application
    Filed: March 8, 2010
    Publication date: September 16, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Hachishiro IIZUKA
  • Publication number: 20100230387
    Abstract: Occurrence of a back-flow of plasma or ignition of gas for plasma excitation in a longitudinal hole portion can be prevented more completely, and a shower plate in which efficient plasma excitation is possible is provided. In shower plate 105, which is arranged in processing chamber 102 of a plasma processing apparatus and discharges gas for plasma excitation into processing chamber, porous-gas passing body 114 having a pore that communicates in the gas flow direction is fixed onto longitudinal hole 112 used as a discharging path of gas for plasma excitation. The pore diameter of a narrow path in a gas flowing path formed of a pore, which communicates to porous-gas passing body 114, is 10 ?m or lower.
    Type: Application
    Filed: June 13, 2007
    Publication date: September 16, 2010
    Applicants: TOKYO ELECTRON LIMITED, NATIONAL UNIVERSITY CORPORATION TOHOKU UNIVERSITY
    Inventors: Masahiro Okesaku, Tetsuya Goto, Tadahiro Ohmi, Kiyotaka Ishibashi
  • Patent number: 7789961
    Abstract: A process for depositing a thin film material on a substrate is disclosed, comprising simultaneously directing a series of gas flows from the output face of a delivery head of a thin film deposition system toward the surface of a substrate, and wherein the series of gas flows comprises at least a first reactive gaseous material, an inert purge gas, and a second reactive gaseous material, wherein the first reactive gaseous material is capable of reacting with a substrate surface treated with the second reactive gaseous material. A system capable of carrying out such a process is also disclosed.
    Type: Grant
    Filed: January 8, 2007
    Date of Patent: September 7, 2010
    Assignee: Eastman Kodak Company
    Inventors: Shelby F. Nelson, David H. Levy, Roger S. Kerr
  • Patent number: 7785417
    Abstract: A plasma processing system for plasma processing of substrates such as semiconductor wafers. The system includes a plasma processing chamber, a substrate support for supporting a substrate within the processing chamber, a dielectric member having an interior surface facing the substrate support, the dielectric member forming a wall of the processing chamber, a gas injector fixed to, part of or removably mounted in an opening in the dielectric window, the gas injector including a plurality of gas outlets supplying process gas into the chamber, and an RF energy source such as a planar or non-planar spiral coil which inductively couples RF energy through the dielectric member and into the chamber to energize the process gas into a plasma state. The arrangement permits modification of gas delivery arrangements to meet the needs of a particular processing regime. In addition, compared to consumable showerhead arrangements, the use of a removably mounted gas injector can be replaced more easily and economically.
    Type: Grant
    Filed: February 21, 2001
    Date of Patent: August 31, 2010
    Assignee: Lam Research Corporation
    Inventors: Tuqiang Ni, Alex Demos
  • Patent number: 7780790
    Abstract: Disclosed is a vacuum processing apparatus in which a conducive partition having a plurality of through holes is formed inside a vacuum processing vessel, and an internal space of the vacuum processing vessel is partitioned into a plasma generating space in which a high-frequency electrode is installed to function as a counter electrode with respect to the partition, and a substrate processing space in which a substrate is set. This vacuum processing apparatus includes a gas reservoir formed on a sidewall of the vacuum processing vessel and communicating with the plasma generating space, and a gas supply system connected to the gas reservoir to supply a gas to the gas reservoir.
    Type: Grant
    Filed: September 24, 2009
    Date of Patent: August 24, 2010
    Assignee: Canon Anelva Corporation
    Inventor: Hiroshi Nogami
  • Publication number: 20100206483
    Abstract: For coupling RF power from an RF input of a plasma chamber to the interior of a plasma chamber, an RF bus conductor is connected between the RF input and a plasma chamber electrode. In one embodiment, an RF return bus conductor is connected to an electrically grounded wall of the chamber, and the RF bus conductor and the RF return bus conductor have respective surfaces that are parallel and face each other. In another embodiment, the RF bus conductor has a transverse cross section having a longest dimension oriented perpendicular to the surface of the plasma chamber electrode that is closest to the RF bus conductor.
    Type: Application
    Filed: February 13, 2010
    Publication date: August 19, 2010
    Inventors: Carl A. Sorensen, Jozef Kudela, Robin L. Tiner, Suhail Anwar, John M. White
  • Patent number: 7776178
    Abstract: Stress within a suspension wall for suspending a showerhead in a process chamber is ameliorated by one or more of: (1) Openings in the suspension wall that reduce exposure of the suspension wall to process gas or ambient atmosphere when the chamber lid is opened. (2) A substantially vertical arrangement of one or more rifts in the suspension wall which facilitate horizontal buckling or flexing of the suspension wall. (3) A plurality of suspension walls whose respective central portions are coplanar. (4) A gas sealing skirt that helps protect the suspension wall from direct contact with process gas. The gas sealing skirt is connected to either the chamber wall or the showerhead but is not connected to both.
    Type: Grant
    Filed: October 25, 2006
    Date of Patent: August 17, 2010
    Assignee: Applied Materials, Inc.
    Inventor: Ernst Keller
  • Publication number: 20100184298
    Abstract: A showerhead electrode for a plasma processing apparatus includes an interface gel between facing surfaces of an electrode plate and a backing plate. The interface gel maintains thermal conductivity during lateral displacements generated during temperature cycling due to mismatch in coefficients of thermal expansion. The interface gel comprises, for example, a silicone based composite filled with aluminum oxide microspheres. The interface gel can conform to irregularly shaped features and maximize surface contact area between mating surfaces. The interface gel can be pre-applied to a consumable upper electrode.
    Type: Application
    Filed: August 15, 2008
    Publication date: July 22, 2010
    Applicant: Lam Research Corporation
    Inventor: Rajinder Dhindsa
  • Patent number: 7758698
    Abstract: A gas distributor for use in a semiconductor process chamber comprises a body. The body includes a first channel formed within the body and adapted to pass a first fluid from a first fluid supply line through the first channel to a first opening. A second channel is formed within the body and adapted to pass a second fluid from a second fluid supply line through the second channel to a second opening. The first and second openings are arranged to mix the fluids outside the body after the fluids pass through the openings.
    Type: Grant
    Filed: November 28, 2006
    Date of Patent: July 20, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Won B. Bang, Srivivas D. Nemani, Phong Pham, Ellie Y. Yieh
  • Publication number: 20100178774
    Abstract: Plasma confinement rings are adapted to reach sufficiently high temperatures on plasma-exposed surfaces of the rings to substantially reduce polymer deposition on those surfaces. The plasma confinement rings include an RF lossy material effective to enhance heating at portions of the rings. A low-emissivity material can be provided on a portion of the plasma confinement ring assembly to enhance heating effects.
    Type: Application
    Filed: March 24, 2010
    Publication date: July 15, 2010
    Applicant: Lam Research Corporation
    Inventor: James H. Rogers
  • Publication number: 20100178775
    Abstract: A shower plate is disposed in a processing chamber in a plasma processing apparatus, and plasma excitation gas is released into the processing chamber so as to generate plasma. A ceramic member having a plurality of gas release holes having a diameter of 20 ?m to 70 ?m, and/or a porous gas-communicating body having pores having a maximum diameter of not more than 75 ?m communicating in the gas-communicating direction are sintered and bonded integrally with the inside of each of a plurality of vertical holes which act as release paths for the plasma excitation gas.
    Type: Application
    Filed: September 26, 2007
    Publication date: July 15, 2010
    Applicants: TOKYO ELECTRON LIMITED, NATIONAL UNIVERSITY CORPORATION TOHOKU UNIVERSITY
    Inventors: Masahiro Okesaku, Tadahiro Ohmi, Tetsuya Goto, Takaaki Matsuoka, Toshihisa Nozawa, Atsutoshi Inokuchi, Kiyotaka Ishibashi
  • Publication number: 20100163187
    Abstract: A plasma processing apparatus includes a vacuum chamber, a sample table that places the sample in the vacuum chamber, and a gas supply unit faced to the sample table and having a gas supply surface with a diameter larger than that of the sample, wherein gas injection holes each having identical diameter are provided concentrically on the gas supply surface, a hole number density of the gas injection holes present in an outer diameter position of the sample or in an outside of the outer diameter position is made higher than that of the gas injection holes present inside the outer diameter position of the sample, and a diameter of the gas injection holes present in the outer diameter position of the sample or in the outside from the outer diameter position is larger than that of the gas injection holes present inside the diameter of the sample.
    Type: Application
    Filed: February 25, 2009
    Publication date: July 1, 2010
    Inventors: Kenetsu Yokogawa, Takamasa Ichino, Kazuyuki Hirozane, Tadamitsu Kanekiyo
  • Patent number: 7743730
    Abstract: An electrode assembly configured to provide a ground path for a plasma processing chamber of a plasma processing system is disclosed. The apparatus includes an electrode configured to be exposed to a plasma. The apparatus also includes a heater plate disposed above the electrode, wherein the heater plate is configured to heat the electrode. The apparatus further includes a cooling plate disposed above the heater plate, wherein the cooling plate is configured to cool the electrode. The apparatus also includes a plasma chamber lid configured to confine the plasma in the plasma chamber, wherein the plasma chamber lid includes a ground. The apparatus further includes a clamp ring configured to secure the electrode, the heater plate, and the cooling plate to the plasma chamber lid, the clamp ring is further configured to provide the ground path from the electrode to the chamber lid.
    Type: Grant
    Filed: December 21, 2005
    Date of Patent: June 29, 2010
    Assignee: Lam Research Corporation
    Inventors: Arnold Kholodenko, Anwar Husain