Using Microwave To Generate The Plasma Patents (Class 216/69)
  • Patent number: 6841201
    Abstract: An apparatus and method that generates plasma using a microwave radiation supply. The plasma is used to treat a surface of a workpiece at approximately atmospheric pressure. Plasma excites a working gas to create an excited gaseous species without degradation from undue heat caused by the plasma. The gaseous species exit an outlet of the apparatus to treat the surface of a workpiece when the outlet is juxtaposed with the workpiece.
    Type: Grant
    Filed: December 21, 2001
    Date of Patent: January 11, 2005
    Assignee: The Procter & Gamble Company
    Inventors: Vesselin Nikolov Shanov, Saswati Datta, Seved Farhad Miralai, John Andrew McDaniel
  • Patent number: 6824699
    Abstract: This invention relates to a method of heating an insulating layer, such as is found in semiconductor devices, in which a formation has been etched through a layer of resist comprising reactive etching the resist, inhibiting absorption of or removing water vapour and/or oxygen at the exposed surfaces of the etched formation and filling the formation with conductive metal in the absence of said water vapour and/or oxygen.
    Type: Grant
    Filed: May 16, 2003
    Date of Patent: November 30, 2004
    Assignee: Trikon Holdings Ltd.
    Inventor: Christopher David Dobson
  • Patent number: 6809019
    Abstract: A method for producing a semiconductor structure includes applying at least one first layer, etching the first layer using a masking layer such that fences are produced, and, after removal of the masking layer and application of an auxiliary layer, the auxiliary layer and the fences are removed jointly except for a predetermined extent of the auxiliary layer. The present invention also relates to use of the method for producing spacers in a semiconductor structure.
    Type: Grant
    Filed: September 30, 2002
    Date of Patent: October 26, 2004
    Assignee: Infineon Technologies AG
    Inventors: Walter Hartner, Matthias Krönke
  • Patent number: 6806038
    Abstract: A method for forming a conductive trace on a substrate. The conductive trace is patterned with a photoresist mask and etched, thereby forming a polymer layer on a top surface and sidewalls of the photoresist mask and on sidewalls of the conductive trace. The polymer layer contains entrained chlorine gas. The substrate is heated on a chuck in a reaction chamber. A remote plasma is generated from ammonia gas and oxygen gas. The substrate is contacted with the ammonia and oxygen plasma, thereby withdrawing a substantial portion of the entrained chlorine gas from the polymer layer. A radio frequency potential is applied to the chuck on which the substrate resides, thereby creating a reactive ion etchant from the ammonia and oxygen plasma in the reaction chamber and removing the polymer layer from the top surface of the photoresist mask. The photoresist mask is thus exposed, and then removed in an ashing process.
    Type: Grant
    Filed: July 8, 2002
    Date of Patent: October 19, 2004
    Assignee: LSI Logic Corporation
    Inventors: Shiqun Gu, Hong Lin, Ryan Tadashi Fujimoto
  • Publication number: 20040168705
    Abstract: A method for removing a reducible contaminant, such as an oxide or organic material, from a surface of a material layer comprises contacting an exposed dielectric layer with one or more suppressant species. The exposed dielectric layer and the material layer are contacted with the reducing species. Contacting the exposed dielectric layer with the suppressant species suppresses reactions between the exposed dielectric layer and the reducing species. Contacting the dielectric layer with the suppressant species may prevent the reducing gas from increasing the dielectric constant of the dielectric layer.
    Type: Application
    Filed: March 4, 2004
    Publication date: September 2, 2004
    Applicant: Applied Materials, Inc.
    Inventors: Bingxi Sun, David M. Pung, Ashish Bodke, Nety M. Krishna
  • Patent number: 6767838
    Abstract: A method and apparatus of treating a surface of a sample. A sample is arranged on a stage provided in a chamber, an etching gas is continuously supplied into the chamber and a plasma is generated from the etching gas. An rf bias at a frequency of 100 kHz or higher is applied to the stage independently of the generation of the plasma, and the rf bias is modulated at a frequency of 100 Hz to 10 kHz. Thereby, a surface treatment in which a minimum feature size is 1 &mgr;m or smaller is performed on the sample.
    Type: Grant
    Filed: October 19, 2000
    Date of Patent: July 27, 2004
    Assignee: Hitachi, Ltd.
    Inventors: Tetsuo Ono, Tatsumi Mizutani, Ryouji Hamasaki, Tokuo Kure, Takafumi Tokunaga, Masayuki Kojima
  • Patent number: 6764606
    Abstract: In a plasma processing apparatus according to the present invention, a gas inlet port and a discharge port are provided on a chamber for introducing and discharging gas into and from the chamber respectively. A sample to be etched is placed on an electrode part, so that a high-frequency power source applies a high-frequency bias to the sample. An electromagnet provided on the periphery of a plasma generation area generates a magnetic field while a waveguide connected to an upper potion of the chamber introduces a microwave into the plasma generation area through a microwave introduction window. Electron cyclotron resonance is excited for the gas for generating plasma. At least a surface of the microwave introduction window exposed to the plasma generation area is made of quartz, while the gas contains fluorine. The apparatus having the aforementioned structure can remove a material adhering to the surface of the microwave introduction window when the sample is etched.
    Type: Grant
    Filed: February 27, 2001
    Date of Patent: July 20, 2004
    Assignee: Tokyo Electron Limited
    Inventor: Toshihiro Yanase
  • Publication number: 20040089631
    Abstract: In certain implementations, methods and apparatus include an antenna assembly having at least two overlapping and movable surface microwave plasma antennas. The antennas have respective pluralities of microwave transmissive openings formed therethrough. At least some of the openings of the respective antennas overlap with at least some of the openings of another antenna, and form an effective plurality of microwave transmissive openings through the antenna assembly. Microwave energy is passed through the effective plurality of openings of the antenna assembly and to a flowing gas effective to form a surface microwave plasma onto a substrate received within the processing chamber. At least one of the antennas is moved relative to another of the antennas to change at least one of size and shape of the effective plurality of openings through the antenna assembly effective to modify microwave energy passed through the antenna assembly to the substrate.
    Type: Application
    Filed: November 12, 2002
    Publication date: May 13, 2004
    Inventors: Guy T. Blalock, Trung Tri Doan
  • Patent number: 6730369
    Abstract: The vacuum processing chambers 31 of the plasma processing units 3A and 3B are connected to the transfer chamber 2 and the wafer W in the positioned state is transferred from the transfer chamber 2 to the mounting stages 4 in the vacuum processing chambers 31. The volume and length of the wave guide 5 are the same between the plasma processing units 3A and 3B. The location relationship of the wave guide 5 to the transfer directions M1 and M2 of the transfer arm 61 is the same between the plasma processing units 3A and 3B. As a result, the location relationship of the wave guide 5 to the wafer W mounted on the mounting stage 4 in a predetermined direction is the same between the plasma processing units 3A and 3B.
    Type: Grant
    Filed: September 7, 2000
    Date of Patent: May 4, 2004
    Assignee: Tokyo Electron Limited
    Inventor: Hideaki Amano
  • Patent number: 6706334
    Abstract: Disclosed are a processing method and apparatus for removing a native oxide film from the surface of a subject to be treated. In this method and apparatus, gas generated from N2, H2 and NF3 gases is reacted with the surface of the subject to degenerate the native oxide film into a reactive film. If the subject is heated to a given temperature, the reactive film is sublimated and thus the native oxide film is removed. Plasma is generated from the N2 and H2 gases and then activated to form an activated gas species. The NF3 gas is added to the activated gas species to generate an activated gas of these three gases. In the step of forming the reactive film, the subject is cooled to not higher than a predetermined temperature by a cooling means. In the step of sublimating the reactive film, the subject is lifted up to a predetermined heating position.
    Type: Grant
    Filed: November 10, 1999
    Date of Patent: March 16, 2004
    Assignee: Tokyo Electron Limited
    Inventors: Yasuo Kobayashi, Kotaro Miyatani, Kaoru Maekawa
  • Publication number: 20040026039
    Abstract: A microwave plasma processing apparatus includes a processing vessel, a microwave generator, a waveguide guiding a microwave formed by the microwave generator, and a microwave emitting member emitting the microwave with wavelength compression by a retardation plate, wherein the waveguide has a single microwave output opening in a location corresponding to a central par of the microwave emitting member.
    Type: Application
    Filed: June 11, 2003
    Publication date: February 12, 2004
    Inventors: Naohisa Goto, Tadahiro Ohmi, Masaki Hirayama, Tetsuya Goto
  • Publication number: 20040007246
    Abstract: A method and system for cleaning collector optics in a light source chamber. In producing, for example, extreme ultraviolet light for lithography, debris such as tungsten can accumulate on optical components near a light source in the light source chamber.
    Type: Application
    Filed: July 15, 2002
    Publication date: January 15, 2004
    Inventors: Michael Chan, Robert Bristol, Mark Doczy
  • Patent number: 6673722
    Abstract: An improved chemical vapor deposition or etching is shown in which cyclotron resonance and photo or plasma CVD cooperate to deposit a layer with high performance at a high deposition speed. The high deposition speed is attributed to the cyclotron resonance while the high performance is attributed to the CVDs.
    Type: Grant
    Filed: May 9, 1997
    Date of Patent: January 6, 2004
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Patent number: 6660647
    Abstract: A surface processing method of a sample having a mask layer that does not contain carbon as a major component formed on a substance to be processed, the substance being a metal, semiconductor and insulator deposited on a silicon substrate, includes the steps of installing the sample on a sample board in a vacuum container, generating a plasma that consists of a mixture of halogen gas and adhesive gas inside the vacuum container, applying a radio frequency bias voltage having a frequency ranging from 200 kHz to 20 MHz on the sample board, and controlling a periodic on-off of the radio frequency bias voltage with an on-off control frequency ranging from 100 Hz to 10 kHz.
    Type: Grant
    Filed: January 11, 2001
    Date of Patent: December 9, 2003
    Assignee: Hitachi, Ltd.
    Inventors: Tetsuo Ono, Takafumi Tokunaga, Tadashi Umezawa, Motohiko Yoshigai, Tatsumi Mizutani, Tokuo Kure, Masayuki Kojima, Takashi Sato, Yasushi Goto
  • Patent number: 6647994
    Abstract: An improved and new process for photoresist stripping for use during fabrication of semiconductor integrated circuits, which use porous low-k dielectric materials, such as OSG or HSQ, as the interlevel and intra-level insulating layers, has been developed. Photoresist stripping in microwave or rf generated plasmas in gaseous mixtures of NH3 and CO takes place without attack or damage to underlying layers of OSG or HSQ. Optimum results are obtained when the ratio of CO to NH3 is between about 0.8 and 1.2.
    Type: Grant
    Filed: January 2, 2002
    Date of Patent: November 18, 2003
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Ming-Huei Lui, Mei-Hui Sung
  • Publication number: 20030183599
    Abstract: A plasma processing method and a plasma processing system provide advantages of a high degree of selectivity, a large area processing capability and an enhanced precision level. A plasma processing method according to the invention is so designed that a pulse modulation power is supplied alternately to the plasma generating power supply and the substrate bias power supply by referring to the time taken by gas to diffuse from the center of electric discharge to the substrate. In a plasma processing system according to the invention, the plasma generating power supply and the substrate bias power supply are provided with modulation means for supplying a pulse modulation power alternately to the plasma generating section and the substrate electrode by referring to the time taken by gas to diffuse from the center of electric discharge to the substrate.
    Type: Application
    Filed: March 21, 2003
    Publication date: October 2, 2003
    Inventors: Masashi Kikuchi, Hitoshi Ikeda, Kiyoshi Kuwahara, Toshio Hayashi, Noriyuki Harashima, Takaei Sasaki
  • Publication number: 20030132198
    Abstract: According to the present invention, there is provided a sample surface treating apparatus for processing a fine pattern by plasma etching, comprising: a stage provided in a chamber, on which a sample to be subjected to a surface treatment is placed; etching gas supplying means for continuously supplying an etching gas for plasma generation into the chamber; plasma generating means for generating a high-density plasma in the chamber; a bias power supply for applying a bias voltage of 100 kHz or higher to the stage independently of the plasma generation; and pulse modulating means for modulating the bias power supply at a frequency of 100 Hz to 10 kHz, wherein a surface treatment in which the minimum feature size is 1 &mgr;m or smaller is performed to the sample placed on the stand.
    Type: Application
    Filed: February 12, 1999
    Publication date: July 17, 2003
    Inventors: TETSUO ONO, TATSUMI MIZUTANI, RYOUJI KAMASAKI, TOKUO KURE, TAKAFUMI TOKUNAGA, MASAYUKI KOJIMA
  • Patent number: 6573190
    Abstract: A dry etching apparatus and method which can uniformly and stably generate a high-density plasma over a wide range, and can cope with increase of wafer diameter and making the pattern finer in etch processing of the fine pattern of a semiconductor device. The apparatus and method enables a magnitude of a magnetic field to be cyclically modulated when a substrate to be treated is etch processed. The cyclical modulation may be effected by cyclically modulating a coil current flowing to a solenoid coil.
    Type: Grant
    Filed: May 18, 2001
    Date of Patent: June 3, 2003
    Assignee: Hitachi, Ltd.
    Inventors: Masaru Izawa, Shinichi Tachi, Kenetsu Yokogawa, Nobuyuki Negishi, Naoyuki Kofuji
  • Patent number: 6561198
    Abstract: In this method for the surface treatment of a metal part (12) for the purpose of deoxidizing it and/or cleaning it, a sealed chamber (16), in which the part to be treated is placed, is filled with a low-pressure reducing gas mixture, a static magnetic field is created in a region of the chamber (16) separate from the region in which the part (12) to be treated is placed and the gas mixture is excited by means of an electromagnetic wave injected into the chamber (16) so as to generate a treatment plasma in the gas, the intensity of the static magnetic field corresponding to electron cyclotron resonance established in the chamber in a distributed manner.
    Type: Grant
    Filed: November 6, 2000
    Date of Patent: May 13, 2003
    Assignee: L'Air Liquide Societe Anonyme a Directoire et Conseil de Surveillance pour l'Etude et l'Exploitation des Procedes Georges Claude
    Inventors: Bernard Drevillon, Nicolas Bertrand, Jean-Christophe Rostaing
  • Patent number: 6558564
    Abstract: In the present invention, electron temperature is controlled by modifying the power delivered to the plasma by inducing or enhancing natural instabilities between the plasma and the power source. As a result, no pulse modulation of the RF power or RF generator is required. The instability is enhanced until the desired reduction in electron temperature has been achieved. In accordance with the invention, there are several modes for inducing such a natural instability.
    Type: Grant
    Filed: April 5, 2000
    Date of Patent: May 6, 2003
    Assignee: Applied Materials Inc.
    Inventors: Peter K. Loewenhardt, Wade Zawalski
  • Publication number: 20030066487
    Abstract: To provide a plasma processing system capable of introducing a uniform microwave into a plasma processing chamber irrespective of conditions, and a surface processing method using the same. A plasma processing system in which air in a plasma processing chamber is exhausted by an exhaust unit, a microwave is supplied to the plasma processing chamber through an annular waveguide which is bored to be provided at predetermined intervals in a circumferential direction on the same plane facing a surface to be processed of an object to be processed on the plasma processing chamber side to generate plasma within the plasma processing chamber, wherein the annular waveguide is separated into two layers of an input side waveguide and an output side waveguide, and the slots are bored to be provided between these waveguides at predetermined intervals in a circumferential direction.
    Type: Application
    Filed: September 30, 2002
    Publication date: April 10, 2003
    Inventor: Nobumasa Suzuki
  • Patent number: 6527968
    Abstract: A process for etching a substrate 25 in an etching chamber 105, and simultaneously removing etch residue deposited on the surfaces of the walls 110 and components of the etching chamber 105. In one version, a two-stage method of opening a nitride mask layer on the substrate includes a first stage of providing a highly chemically reactive process gas in the chamber 105 to etch the nitride layer 32 and/or an underlying oxide layer 34, and a second stage of providing a less chemically reactive process gas in the chamber to etch the nitride layer 32 and/or the oxide layer 34 at a slower rate than the first stage. The first and second stage process gases may each comprise a fluorine containing gas, with the fluorine ratio of the first gas higher than the fluorine ratio of the second gas.
    Type: Grant
    Filed: March 27, 2000
    Date of Patent: March 4, 2003
    Assignee: Applied Materials Inc.
    Inventors: Xikun Wang, Scott Williams, Shaoher X. Pan
  • Patent number: 6511608
    Abstract: Because of environmental pollution prevention laws, PFC (perfluorocarbon) and HFC (hydrofluorocarbon), both etching gases for silicon oxide and silicon nitride films, are expected to be subjected to limited use or become difficult to obtain in the future. An etching gas containing fluorine atoms is introduced into a plasma chamber. In a region where plasma etching takes place, the fluorine-containing gas plasma is made to react with solid-state carbon in order to produce molecular chemical species such as CF4, CF2, CF3 and C2F4 for etching. This method assures a high etch rate and high selectivity while keeping a process window wide.
    Type: Grant
    Filed: September 14, 2000
    Date of Patent: January 28, 2003
    Assignee: Hitachi, Ltd.
    Inventors: Masahito Mori, Shinichi Tachi, Kenetsu Yokogawa
  • Patent number: 6451217
    Abstract: A wafer etching method wherein hydrogen gas, ammonia gas or mixed gas containing one of these gases is added to sulfur hexafluoride gas to suppress the occurrence of white turbidity on the surface of the wafer at the time of etching and to enable high quality mirror polishing of the wafer. In one embodiment, a mixed gas obtained by mixing SF6 gas G1 of a bomb 31 and H2 gas G2 of a bomb 32 in a predetermined ratio is fed to a discharge tube 2 and a microwave M is generated from a microwave oscillator 4 to cause plasma discharge. Further, the entire surface of the silicon wafer W can be flattened by locally etching the surface of the silicon wafer W by an activated species gas G sprayed from the nozzle portion 20.
    Type: Grant
    Filed: February 28, 2000
    Date of Patent: September 17, 2002
    Assignees: SpeedFam-IPEC Co., Ltd.
    Inventors: Michihiko Yanagisawa, Chikai Tanaka, Shinya Iida, Yasuhiro Horiike
  • Publication number: 20020117473
    Abstract: In a plasma processing apparatus according to the present invention, a gas inlet port and a discharge port are provided on a chamber for introducing and discharging gas into and from the chamber respectively. A sample to be etched is placed on an electrode part, so that a high-frequency power source applies a high-frequency bias to the sample. An electromagnet provided on the periphery of a plasma generation area generates a magnetic field while a waveguide connected to an upper potion of the chamber introduces a microwave into the plasma generation area through a microwave introduction window. Electron cyclotron resonance is excited for the gas for generating plasma. At least a surface of the microwave introduction window exposed to the plasma generation area is made of quartz, while the gas contains fluorine. The apparatus having the aforementioned structure can remove a material adhering to the surface of the microwave introduction window when the sample is etched.
    Type: Application
    Filed: February 27, 2001
    Publication date: August 29, 2002
    Inventor: Toshihiro Yanase
  • Patent number: 6436304
    Abstract: A plasma processing method using helicon wave excited plasma which makes it possible to control a degree of dissociation for a process gas by controlling the source power. In the plasma processing method using helicon wave excited plasma, the source power applied to the plasma generator is set lower than a source power corresponding to a discontinuous change of a characteristic line indicating the dependency of electron density or saturated ion current density on source power.
    Type: Grant
    Filed: February 5, 1997
    Date of Patent: August 20, 2002
    Assignee: Anelva Corporation
    Inventor: Hiroshi Nogami
  • Patent number: 6435196
    Abstract: The present invention relates to an impurity processing apparatus in which impurities such as phosphorus, boron, or the like are doped in a semiconductor substrate, etc., or a PSG (PhosphoSilicateGlass) film, a BSG (BoroSilicateGlass) film, or a BPSG (BoroPhosphoSilicateGlass) film, or a carbon film, etc. This apparatus includes a chamber having an introduction port for an impurity-containing ion gas which is connected to an impurity-containing gas supply section, a substrate holder supporting a substrate which is to be ion-injected, or doped, or on which a film is formed using the impurity-containing gas, an introduction port of a water-containing gas which is provided upstream of the substrate holder in accordance with a flow direction of the impurity-containing gas, and is connected to a water-containing gas supply section, and first plasma generating means in a space extending from the introduction port for water-containing gas to the substrate holder for converting water-containing gas to a plasma.
    Type: Grant
    Filed: December 1, 1999
    Date of Patent: August 20, 2002
    Assignees: Canon Sales Co., Inc., Semiconductor Process Laboratory Co., Ltd.
    Inventors: Noritada Satoh, Kouichi Ohira, Bunya Matsui, Kazuo Maeda
  • Patent number: 6391396
    Abstract: Chemical vapor deposition coating is carried out in a cylindrical cavity. The fibers are heated by a microwave source that is uses a TM0N0 mode, where O is an integer, and produces a field that depends substantially only on radius. The fibers are observed to determine their heating, and their position can be adjusted. Once the fibers are uniformly heated, a CVD reagent is added to process the fibers.
    Type: Grant
    Filed: November 28, 2000
    Date of Patent: May 21, 2002
    Assignee: California Institute of Technology
    Inventors: Martin B. Barmatz, Gordon Hoover, Henry W. Jackson
  • Patent number: 6383918
    Abstract: A method is provided for reducing contact resistances in semiconductors. In the use of fluorocarbon plasmas during high selectively sub-quarter-micron contact hole etching, with the silicon dioxide(SiO2)/silicon nitride(Si3N4)/silicide(TiSix) layers, polymerization effects have been discovered to be crucial. The process includes using a high etch selective chemistry, to remove SiO2 first, then switching to another chemistry with high selectivity of Si3N4-to-TiSix. To obtain good etch selectivity of SiO2-to-Si3Nx, fluorocarbon plasmas containing high C/F ratio are employed. This results in the information of reactive unsaturated polymers which stick easily to contact sidewalls and bottoms. Fluorine from the polymer was discovered to severely degrade the etch selectivity of Si3N4-to-TiSix. Different polymer removing methods to restore etch selectivity of Si3N4-to-TiSix, are provided which can be applied to any highly selective etching of oxide versus nitride.
    Type: Grant
    Filed: October 27, 2000
    Date of Patent: May 7, 2002
    Assignee: Philips Electronics
    Inventors: Victor Ku, Delbert Parks
  • Patent number: 6383403
    Abstract: A substrate to be etched is subjected to dry etching by using a dry etching gas containing a perfluorocycloolefin while a plasma with a high density region of at least 1010/cm3 is generated. As the perfluorocycloolefin, those having 3 to 8 carbon atoms, especially 4 to 6 carbon atoms are preferably used.
    Type: Grant
    Filed: April 30, 1999
    Date of Patent: May 7, 2002
    Assignees: Japan as represented by the Director General of the Agency of Industrial Science and Technology, The Mechanical Social Systems Foundation, Electronic Industries Association of Japan, Nippon Zeon Co., Ltd.
    Inventors: Akira Sekiya, Toshiro Yamada, Kuniaki Goto, Tetsuya Takagaki
  • Patent number: 6375860
    Abstract: The occurrence of internally-formed contaminants or negatively-charged particulates within a plasma is minimized by preventing such from becoming trapped in the plasma. The plasma is formed in a plasma chamber having control electrodes and reference electrodes. The control electrodes are biased with a negative potential. The plasma assumes a potential more positive than the control electrodes. The reference electrodes are then biased to be more positive than the plasma. Hence, negative ions or negatively-charged particulates in the plasma are attracted to the more positive reference electrodes, and thus escape the plasma without being trapped therein, and are not available to serve as nucleation or agglomeration points for contaminants. A pair of Helmholtz coils produce a magnetic field having magnetic field lines that run longitudinally between the control electrodes.
    Type: Grant
    Filed: March 10, 1995
    Date of Patent: April 23, 2002
    Assignee: General Atomics
    Inventors: Tihiro Ohkawa, Stanley I. Tsunoda
  • Patent number: 6372657
    Abstract: An improved dry plasma cleaning process for the removal of native oxides, or other oxide films or growth residue, from openings formed in an insulating layer provided over a semiconductor substrate, without damaging the substrate or significantly affecting the critical dimension of the opening is disclosed. A mixture of nitrogen trifluoride (NF3), ammonia (NH3) and oxygen (O2) is first injected upstream into a microwave plasma source and is exited, and then the plasma is flowed downstream from the plasma source into a reaction chamber containing the substrate.
    Type: Grant
    Filed: August 31, 2000
    Date of Patent: April 16, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Max F. Hineman, Kevin J. Torek
  • Patent number: 6368517
    Abstract: Method for removing or inactivating corrosion-forming etch residues remaining on the surface of a dielectric material after etching a metal layer which is supported by the dielectric material. The surface of the dielectric material which supports the corrosion-forming etch residues is post-etch treated in order to remove the corrosion-forming etch residues. Post-etch treating of the surface of the dielectric material includes disposing the dielectric material in a vacuum chamber having microwave downstream treating gas plasma, or contacting the surface of the dielectric material with deionized water.
    Type: Grant
    Filed: February 17, 1999
    Date of Patent: April 9, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Jeng H. Hwang, Kang-Lie Chiang, Guangxiang Jin
  • Publication number: 20020038791
    Abstract: While interior of a vacuum chamber is maintained to a specified pressure by introducing a specified gas from a gas supply unit into the vacuum chamber and simultaneously performing exhaustion by a pump as an exhauster, a high-frequency power of 100 MHz is supplied by an antenna use high-frequency power supply to an antenna provided so as to project into the vacuum chamber, by which plasma is generated in the vacuum chamber. The vacuum chamber grounded, and separated into a region on one side on which the substrate is present and a region on the other side on which the substrate is absent by a punching metal plate nearly all the peripheral portion of which is grounded.
    Type: Application
    Filed: October 3, 2001
    Publication date: April 4, 2002
    Inventors: Tomohiro Okumura, Yukihiro Maegawa, Izuru Matsuda, Takayuki Kai
  • Patent number: 6361707
    Abstract: An apparatus and methods for an upgraded CVD system that provides a plasma for efficiently cleaning a chamber, according to a specific embodiment. Etching or depositing a layer onto a substrate also may be achieved using the upgraded CVD system of the present invention. In a specific embodiment, the present invention provides an easily removable, conveniently handled, and relatively inexpensive microwave plasma source as a retrofit for or a removable addition to existing CVD apparatus. In a preferred embodiment, the remote microwave plasma source efficiently provides a plasma without need for liquid-cooling the plasma applicator tube. In another embodiment, the present invention provides an improved CVD apparatus or retrofit of existing CVD apparatus capable of producing a plasma with the ability to efficiently clean the chamber when needed.
    Type: Grant
    Filed: September 12, 2000
    Date of Patent: March 26, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Tsutomu Tanaka, Mukul Kelkar, Kevin Fairbairn, Hari Ponnekanti, David Cheung
  • Publication number: 20020034590
    Abstract: A plasma reactor is provided which does not require a high power supply voltage and can form a plasma with a necessary and sufficient average current density over the whole region between a pair of electrodes to efficiently modify a gas flowing between the electrodes. The plasma reactor comprises first and second electrodes positioned to face each other, a dielectric material placed between the two electrodes and an electrical power supply for applying an alternating or pulsed current to the two electrodes and generating a plasma in the gas passing through the gap between the two electrodes to thereby modify the gas. By setting the average current density Ird of the plasma generated in the gap so that it satisfies the formula 10−4 A/cm2≦Ird≦10−1 A/cm2, a concentrated discharge and a barrier discharge are simultaneously generated, thus forming a plasma having a sufficient average current density Ird for the efficient modification of the gas over the whole region of the gap.
    Type: Application
    Filed: May 11, 2001
    Publication date: March 21, 2002
    Inventors: Kenji Dosaka, Kazuo Ando, Hideyuki Fujishiro, Minoru Torii, Koji Kotani, Takeshi Yanobe
  • Patent number: 6348158
    Abstract: In a plasma processing method, a plasma is generated using a process gas, and an electron beam is injected into the plasma to control an electron energy distribution in the plasma. Then, a semiconductor substrate is processed using the plasma with controlled electron energy distribution.
    Type: Grant
    Filed: July 22, 1999
    Date of Patent: February 19, 2002
    Assignee: NEC Corporation
    Inventor: Seiji Samukawa
  • Publication number: 20020001861
    Abstract: The present invention provides an etching apparatus and a method of preventing electrical damage of the device due to the charge-up and preventing a wiring short due to etching residues when forming wiring on the device formed in a semiconductor substrate. In a wiring etching method in a semiconductor substrate, including a step of a conductor in a semiconductor device by plasma etching, the etching of the above conductor under a Continuous Wave condition (a condition where a plasma discharge occurs continuously) is performed to a predetermined film thickness before the entire conductor is etched, and after that the etching is performed under a Time Modulation condition (a condition where a plasma discharge occurs intermittently) thereafter.
    Type: Application
    Filed: June 27, 2001
    Publication date: January 3, 2002
    Applicant: NEC CORPORATION
    Inventor: Masahiko Ohuchi
  • Patent number: 6329297
    Abstract: A method and apparatus for enhancing the etch characteristics of a plasma formed in a remote plasma generator. A plasma formed in a remote plasma generator (27) is flown through a tube (62) to a plenum (60) where it is diluted to form a plasma mixture before flowing the plasma mixture into a processing chamber (15). The plasma mixture is used to clean deposits from the interior surfaces of the processing chamber, or can be used to perform an etch step on a process wafer within the processing chamber. In one embodiment, a plasma formed from NF3 is diluted with N2 to etch residue from the surfaces of a processing chamber used to deposit silicon oxide glass. Diluting the plasma increased the etching rate and made the etching rate more uniform across the diameter of the processing chamber.
    Type: Grant
    Filed: April 21, 2000
    Date of Patent: December 11, 2001
    Assignee: Applied Materials, Inc.
    Inventors: Kenneth E. Balish, Thomas Nowak, Tsutomu Tanaka, Mark Beals
  • Patent number: 6316369
    Abstract: A corrosion-resistant system and method for a plasma etching apparatus are provided which are capable of reducing a corrosion or erosion phenomenon of a discharge tube, equipment and/or elements in a chamber of the plasma etching apparatus which is used for localized etching. A micro wave M is oscillated from a micro wave oscillator 20 toward a mixed gas of CF4 and O2 in a quartz discharge tube 110 to thereby produce plasma discharge. The micro wave oscillator 20 is controlled in an on-off manner by means of a pulse generator 21, to thereby oscillate a pulsed micro wave M. As a result, it is possible to reduce the erosion of the quartz discharge tube 110 caused by an active species gas G generated by the plasma discharge. Preferably, a corrosion-resistant oil A is filled in the chamber 100 for preventing an X-Y drive mechanism 130, etc., therein from being corroded or eroded by the active species gas G diffusing in the chamber 100.
    Type: Grant
    Filed: March 30, 2000
    Date of Patent: November 13, 2001
    Assignee: Speedfam Co., Ltd
    Inventors: Michihiko Yanagisawa, Shinya Iida, Yasuhiro Horiike
  • Patent number: 6287980
    Abstract: A plasma processing apparatus mainly comprises a processing chamber (10) formed by a vacuum vessel, a magnetic field forming coil (80) arranged around the processing chamber for forming a rotating magnetic field and gas supply means (101) supplying various gases to the processing chamber (10). The processing chamber (10) is divided into a reaction chamber (44) forming plasma with a partition wall (43) and a buffer chamber (45) discharging externally supplied gases with pressure difference. The reaction chamber (44) includes a high-frequency electrode arranged oppositely to the buffer chamber (45). The gas supply means (101) includes pulse gas valves (63a and 63b) for pulsatively supplying gases to the processing chamber (10). Thus provided are a plasma processing method and a plasma processing apparatus capable of uniformly processing a wafer having a large diameter and reducing RIE lag with respect to a fine etching pattern.
    Type: Grant
    Filed: October 12, 1999
    Date of Patent: September 11, 2001
    Assignees: Mitsubishi Denki Kabushiki Kaisha, Mitsubishi Electric Engineering Co., Ltd.
    Inventors: Minoru Hanazaki, Takayuki Ikushima, Kenji Shirakawa, Shinji Yamaguchi, Masakazu Taki
  • Patent number: 6274058
    Abstract: A processing chamber cleaning method is described which utilizes microwave energy to remotely generate a reactive species to be used alone or in combination with an inert gas to remove deposits from a processing chamber. The reactive species can remove deposits from a first processing region at a first pressure and then remove deposits from a second processing region at a second pressure. Also described is a cleaning process utilizing remotely generated reactive species in a single processing region at two different pressures. Additionally, different ratios of reactive gas and inert gas may be utilized to improve the uniformity of the cleaning process, increase the cleaning rate, reduce recombination of reactive species and increase the residence time of reactive species provided to the processing chamber.
    Type: Grant
    Filed: July 2, 1999
    Date of Patent: August 14, 2001
    Assignee: Applied Materials, Inc.
    Inventors: Ravi Rajagopalan, Patricia M. Liu, Pravin K. Narwankar, Huyen Tran, Padmanabhan Krishnaraj, Alan Ablao, Tim Casper
  • Patent number: 6271148
    Abstract: An apparatus and methods for an upgraded CVD system providing a remote plasma for efficiently cleaning a chamber, according to a specific embodiment. Etching or depositing a layer onto a substrate also may be achieved using the upgraded CVD system of the present invention. In a specific embodiment, the present invention provides apparatus for an easily removable, conveniently handled, and relatively inexpensive, robust microwave plasma source as a retrofit for or a removable addition to existing CVD apparatus. The present invention provides an improved CVD apparatus or retrofit of existing CVD apparatus capable of producing a remote plasma for efficiently cleaning the chamber.
    Type: Grant
    Filed: October 13, 1999
    Date of Patent: August 7, 2001
    Assignee: Applied Materials, Inc.
    Inventors: Chien-Teh Kao, Kenneth Tsai, Quyen Pham, Ronald L. Rose, Calvin R. Augason, Joseph Yudovsky
  • Patent number: 6255222
    Abstract: A method of minimizing particle or residue accumulation within an exhaust line of a substrate processing chamber having a downstream plasma apparatus connected to the exhaust line. One embodiment of the method turns ON the downstream plasma apparatus during a substrate deposition step and a chamber clean operation, and switches the downstream plasma apparatus OFF at other times including the time during which purge gases are flowed into the chamber and various chamber set up or conditioning steps are performed.
    Type: Grant
    Filed: August 24, 1999
    Date of Patent: July 3, 2001
    Assignee: Applied Materials, Inc.
    Inventors: Li-Qun Xia, Himanshu Pokharrna, Tian-Hoe Lim
  • Patent number: 6231777
    Abstract: A pulse voltage of duty ratio 5% or below and repetition frequency 400 KHz or above is supplied in order to suppress the notch, charge build-up damage, subtrench and bowing due to the electron shading phenomenon. Thus, a cycle for accelerating electrons occurs in the substrate bias, so that the electron shading phenomenon does not occur.
    Type: Grant
    Filed: October 26, 1995
    Date of Patent: May 15, 2001
    Assignee: Hitachi, Ltd.
    Inventors: Naoyuki Kofuji, Shin Arai, Kazunori Tsujimoto, Tatsumi Mizutani, Keizo Suzuki, Kenichi Mizuishi
  • Patent number: 6230650
    Abstract: An improved chemical vapor deposition or etching is shown in which cyclotron resonance and photo or plasma CVD cooperate to deposit a layer with high performance at a high deposition speed. The high deposition speed is attributed to the cyclotron resonance while the high performance is attributed to the CVDS.
    Type: Grant
    Filed: March 14, 1997
    Date of Patent: May 15, 2001
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Patent number: 6214249
    Abstract: A method for producing stable atmospheric pressure glow discharge plasmas using RF excitation and the use of said plasmas for modifying the surface layer of materials. The plasma generated by this process and its surface modification capability depend on the type of gases used and their chemical reactivity. These plasmas can be used for a variety of applications, including etching of organic material from the surface layer of inorganic substrates, as an environmentally benign alternative to industrial cleaning operations which currently employ solvents and degreasers, as a method of stripping paint from surfaces, for the surface modification of composites prior to adhesive bonding operations, for use as a localized etcher of electronic boards and assemblies and in microelectronic fabrication, and for the sterilization of tools used in medical applications.
    Type: Grant
    Filed: December 4, 1998
    Date of Patent: April 10, 2001
    Assignee: The Boeing Company
    Inventors: Kin Li, Minas Tanielian
  • Patent number: 6210595
    Abstract: A method for producing structures having a high aspect ratio includes the following steps: a material of the structure to be produced is provided in the form of a layer, a mask is applied to the layer, the layer is subjected to dry etching using the mask, thereby forming redepositions of the layer material on side walls of the mask and the mask is removed, so that a structure having a high aspect ratio is left behind. The method enables very high (≧1 &mgr;m) and very thin (≦50 nm) structures to be produced in a relatively simple and rapid manner in only very few process steps and with only one mask technique. Structures having such large aspect ratios, particularly when they are composed of a conductive material, cannot be produced, or can be produced only with a high outlay, by using other methods.
    Type: Grant
    Filed: September 8, 1998
    Date of Patent: April 3, 2001
    Assignee: Infineon Technologies AG
    Inventors: Volker Weinrich, Manfred Engelhardt
  • Patent number: 6165314
    Abstract: The present invention is directed to a method and apparatus for reducing the thickness of a process layer. The method comprises generating a relatively high velocity gas stream comprised of active ions that will react with the process layer, and moving the wafer relative to the nozzle to effect a reduction in the thickness of the process layer. The apparatus is comprised of a process chamber, means for securing a wafer in the chamber, a nozzle having an exit that is substantially the same width as the diameter of the wafer positioned in the chamber. The apparatus further comprises a means for moving the wafer relative to the nozzle.
    Type: Grant
    Filed: June 7, 2000
    Date of Patent: December 26, 2000
    Assignee: Advanced Micron Devices, Inc.
    Inventors: Mark I. Gardner, Mark C. Gilmer
  • Patent number: 6162733
    Abstract: A method for removing contaminants from integrated circuit devices. Particularly disclosed is a method for removing alkali metal and halogen-based contaminants from an integrated circuit device as the device is being fabricated.
    Type: Grant
    Filed: January 15, 1999
    Date of Patent: December 19, 2000
    Assignee: Lucent Technologies Inc.
    Inventor: Yaw Samuel Obeng