Using Microwave To Generate The Plasma Patents (Class 216/69)
  • Patent number: 6158383
    Abstract: In a plasma processing method and apparatus, microwaves are radiated from a slot antenna set at the bottom of a resonator, a plasma is generated using the microwave and a sample is processed by the plasma. A plasma having a ring-form is generated by the microwaves radiated from the slot antennas, which are disposed at an angle which is neither in parallel to nor perpendicular to a surface current flowing on a slot antenna plate. Thereby, the sample is uniformly processed.
    Type: Grant
    Filed: April 2, 1999
    Date of Patent: December 12, 2000
    Assignee: Hitachi, Ltd.
    Inventors: Seiichi Watanabe, Muneo Furuse, Hitoshi Tamura, Toru Otsubo
  • Patent number: 6146542
    Abstract: A dry etching method of a multilayer film for a semiconductor device includes a first step for etching a metallic layer or a metallic silicide layer by use of a compound gas plasma mixed by a first gas including at least two of O.sub.2, N.sub.2, CO, a second gas including fluorine, a third gas including chlorine, and a fourth gas including bromine, a second step for processing an entire structure formed on the semiconductor substrate by use of a fluorine gas plasma including carbon, and a third step for etching the polysilicon layer by use of a gas plasma including chlorine. The dry etching method prevents an undercut generation along the sidewalls as etching targets, as well as residues remaining in the substrate, thereby improving a reliability of the semiconductor device. The method omits an additional refining process, thereby decreasing a fabrication time of the semiconductor device, improving productivity and realizing cost reduction of the semiconductor device.
    Type: Grant
    Filed: May 4, 1998
    Date of Patent: November 14, 2000
    Assignee: Hyundia Electronics Industries Co., Ltd.
    Inventors: Jae-Hee Ha, Sung-Hun Chi
  • Patent number: 6125859
    Abstract: A method for a multiple-stage microwave plasma cleaning technique for efficiently cleaning a substrate processing chamber. In a specific embodiment, a two-stage cleaning process is described. The first stage begins by flowing a reactive gas from a gas source into a processing chamber where microwaves ignite and maintain a plasma from the reactive gas. Reactive radicals generated which react with residues on the interior surfaces of the processing chamber. In the second stage, an inert gas is flowed into the processing chamber in addition to the reactive gas. Microwaves then ignite and maintain a plasma from the reactive gas and optionally, the inert gas as well. Optionally, an inert gas can be flowed into the processing chamber prior to the first stage to remove loose particles from the processing chamber. The reactive gas in such embodiments is preferably NF.sub.3, but other fluorine-containing gases such as carbon tetrafluoride (CF.sub.4) or sulfur hexafluoride (SF.sub.6) may also be used.
    Type: Grant
    Filed: July 11, 1997
    Date of Patent: October 3, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Chien-Teh Kao, Karl Anthony Littau, Anand Vasudev, Dong Won Koo
  • Patent number: 6126779
    Abstract: A plasma enhanced gas reactor including a reaction chamber having a pair of field-enhancing electrodes each of which has an axial passage through it by one of which a reactant gas is admitted to the reaction chamber, and by the other of which reaction products are removed from the reaction chamber.
    Type: Grant
    Filed: November 7, 1997
    Date of Patent: October 3, 2000
    Assignee: AEA Technology PLC
    Inventors: Robert Frew Gillespie, Stephen Ivor Hall, David Raybone, Fiona Winterbottom
  • Patent number: 6093332
    Abstract: A method for reducing erosion of a mask while etching a feature in a first layer underlying the mask is disclosed. The first layer is disposed on a substrate, with the substrate being positioned on a chuck within in a plasma processing chamber. The method includes flowing an etchant source gas into the plasma processing chamber and forming a plasma from the etchant source gas. The method further includes pulsing an RF power source at a predefined pulse frequency to provide pulsed RF power to the chuck. The pulsed RF power has a first frequency and alternates between a high power cycle and a low power cycle at the pulse frequency The pulse frequency is selected to be sufficiently low to cause polymer to be deposited on the mask during the low power cycle.
    Type: Grant
    Filed: February 4, 1998
    Date of Patent: July 25, 2000
    Assignee: Lam Research Corporation
    Inventors: Jaroslaw W. Winniczek, Vahid Vahedi
  • Patent number: 6080680
    Abstract: Methods and compositions for improving etch rate selectivity of photoresist to substrate material in a downstream microwave dry stripping process in the fabrication of semiconductor integrated (IC) circuits are provided. Significant improvement in selectivity is demonstrated with the addition of N.sub.2 to an etchant gas mixture of O.sub.2 and CF.sub.4.
    Type: Grant
    Filed: December 19, 1997
    Date of Patent: June 27, 2000
    Assignee: Lam Research Corporation
    Inventors: Changhun Lee, Yun-Yen Jack Yang
  • Patent number: 6077787
    Abstract: A method for selective controlled etching of a material particularly by sequentially switching between two (2) or more modes of radiofrequency waves and/or by distance from a source of the microwaves. The modes and/or distance are selected depending upon the surface of the material to be etched. The etching is rapidly conducted at 0.5 mtorr to 10 torr, preferably using microwave plasma etching.
    Type: Grant
    Filed: September 25, 1995
    Date of Patent: June 20, 2000
    Assignees: Board of Trustees operating Michigan State University, Saint-Gobain/Norton Industrial Ceramics Corporation
    Inventors: Donnie K. Reinhard, Rabindra N. Chakraborty, Jes Asmussen, Paul D. Goldman
  • Patent number: 6015761
    Abstract: A microwave-activated plasma process for etching dielectric layers (20) on a substrate (25) with excellent control of the shape and cross-sectional profile of the etched features (40), high etch rates, and good etching uniformity, is described. A process gas comprising (i) fluorocarbon gas (preferably CF.sub.4), (ii) inorganic fluorinated gas (preferably NF.sub.3), and (iii) oxygen, is used. The process gas is introduced into a plasma zone (55) remote from a process zone (60) and microwaves are coupled into the plasma zone (55) to form a microwave-activated plasma. The microwave-activated plasma is introduced into the process zone (60) to etch the dielectric layer (20) on the substrate (25) with excellent control of the shape of the etched features.
    Type: Grant
    Filed: June 26, 1996
    Date of Patent: January 18, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Walter Richardson Merry, William Brown, Harald Herchen, Michael D. Welch
  • Patent number: 6013580
    Abstract: A preprocessing method of a metal film formation process before formation of a BLM film on a resist film of a substrate to be processed, wherein the resist film of substrate to be processed is irradiated with plasma, utilizing a plasma processing apparatus providing independent plasma generating power source and substrate bias power source to form an overhand area at the end face of a connecting hole and change the property of the surface area. The plasma generating power and substrate bias voltage can be set adequately. Thereby irradiation of plasma can be performed easily, change of property at the surface of resist film can be done quickly and shape control of the end face of the connecting hole can also be executed very easily.
    Type: Grant
    Filed: November 13, 1997
    Date of Patent: January 11, 2000
    Assignee: Sony Corporation
    Inventor: Toshiharu Yanagida
  • Patent number: 6008132
    Abstract: A wafer having an interlayer insulating film on a silicon substrate and an Al alloy layer on the interlayer insulating film coated with a resist pattern is introduced into an etching chamber where the Al alloy layer is selectively etched in etchant gas plasma. A main etching process is performed under the etching conditions of a high plasma density until the interlayer insulating film 12 is exposed, and a succeeding over etching process is performed under the etching conditions of a low plasma density. A dry etching method and system is provided which can suppress generation of an abnormal shape or notch of a wiring pattern etched in low pressure and high density plasma, without sacrificing etching selectivity and with productivity being maintained high.
    Type: Grant
    Filed: October 25, 1996
    Date of Patent: December 28, 1999
    Assignee: Yamaha Corporation
    Inventor: Suguru Tabara
  • Patent number: 5985378
    Abstract: The remote-plasma-CVD process for coating or treating large-surface substrates includes exciting an excitation gas located remotely from a substrate surface to be coated or treated in modular plasma source devices arranged either in a linear arrangement or in a planar, grid-like arrangement over the substrate surface and feeding a reactant gas with the excitation gas from the plasma source devices to the substrate surface to excite the reactant gas with the excitation gas and thus form a coating on the substrate surface or treat the substrate surface.
    Type: Grant
    Filed: October 17, 1997
    Date of Patent: November 16, 1999
    Assignee: Schott Glaswerke
    Inventor: Volker Paquet
  • Patent number: 5975014
    Abstract: A method and apparatus employing a microwave applicator for use with an ECR plasma source for applications including etching and chemical vapor deposition is provided. A magnetic field is generated by magnets circumferentially arranged about a chamber that is symmetrical about its longitudinal axis. The microwave applicator, which comprises at least one pair of coaxial resonant multiport microwave antenna arrays, injects and distributes microwave power about a plasma forming portion of the chamber. The antenna arrays include a plurality of radiating stubs for radiating microwave power. The stubs are positioned along the arrays at predetermined intervals and selected orientations relative to a coaxial transmission line, for efficiently distributing microwave power uniformly about the plasma forming portion.
    Type: Grant
    Filed: January 12, 1998
    Date of Patent: November 2, 1999
    Assignee: ASM Japan K.K.
    Inventor: Raphael A. Dandl
  • Patent number: 5968845
    Abstract: An etching method for performing dry-etching on a III-V group compound semiconductor or a II-VI group compound semiconductor in a dry-etching apparatus comprising a plasma source for creating a plasma of density of about 10.sup.10 cm.sup.-3 or greater, using a mixed gas containing a gas including a halogen element and a gas including nitrogon. The etching conditions are as follows: (a flow rate of the gas containing said halogen gas)/(a flow rate of said nitrogen gas) .gtoreq.1; and an internal pressure during etching reaction is about 1 mTorr or greater.
    Type: Grant
    Filed: February 7, 1997
    Date of Patent: October 19, 1999
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Toyoji Chino, Yasuhito Kumabuchi, Isao Kidoguchi, Hideto Adachi
  • Patent number: 5961851
    Abstract: A method of removing material from a substrate, and a plasma discharge device wherein a plasma is excited by microwave energy having an electric field which is azimuthally and axially uniform in relation to the plasma tube. The microwave cavity is divided longitudinally into sections by conducting partitions, each of which is separately fed with microwave energy, and the plasma tube extends through openings in the partitions.
    Type: Grant
    Filed: April 2, 1996
    Date of Patent: October 5, 1999
    Assignee: Fusion Systems Corporation
    Inventors: Mohammad Kamarehi, Richard Pingree, Jianou Shi, Gerald Cox
  • Patent number: 5955382
    Abstract: A microwave excitation plasma processing apparatus comprises a vacuum container having a plasma generating chamber at an upper portion thereof and a processing chamber, a gas supply pipe for supplying a process gas into the plasma generating chamber, a dielectric window arranged in an opening of an upper wall portion of the vacuum container, a rectangular waveguide arranged on the upper wall portion of the vacuum container including the dielectric window and comprising a first wall having a first surface perpendicular to a direction of electric field of a microwave to oppose the dielectric window, second walls having second surfaces parallel to the direction of electric field of the microwave and extending in a direction perpendicular to the first surface, and a third wall having a third surface which is provided on a side opposite to a microwave introducing side perpendicular to the first and second surfaces to reflect the microwave, and a microwave oscillator for introducing the microwave into the waveguide
    Type: Grant
    Filed: January 13, 1998
    Date of Patent: September 21, 1999
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Takeshi Yamauchi, Katsuaki Aoki, Masashi Yamage
  • Patent number: 5948704
    Abstract: A vacuum processing chamber having a substrate support removably mounted therein. The chamber includes an opening in a sidewall thereof and the opening is large enough to allow the substrate support to be removed from the chamber through the opening. A modular mounting arrangement extends through the opening and removably supports the substrate support in the interior of the chamber at a position located inwardly of an inner sidewall of the chamber. The mounting arrangement includes a mounting flange and a support arm. The mounting flange is attached to an exterior surface of the chamber and the support arm extends between the substrate support and the mounting flange. The chamber includes a single vacuum port in a central portion of an endwall of the chamber spaced from the substrate support. The vacuum port is connected to a vacuum pump which removes gases from the interior of the chamber and maintains the chamber at a pressure below atmospheric pressure.
    Type: Grant
    Filed: June 5, 1996
    Date of Patent: September 7, 1999
    Assignee: LAM Research Corporation
    Inventors: Neil Benjamin, Jon Hylbert, Stefano Mangano
  • Patent number: 5932488
    Abstract: A dry etching method utilizing electron cyclotron resonance excited by microwaves is divided into at least a first etching step for etching a region which extends to the vicinity of a boundary between the non-etching layer and the etching layer but does not reach the non-etching layer and a second etching step conducted after the first etching step.At least one among the four control factors of output power of the magnetron, electron cyclotron resonance point, etching pressure and magnetic field intensity distribution or a combination of five control factors including the foregoing four plus a high-frequency bias power applied to the rear surface of the object to be etched is changed as desired between the first etching step and the second etching step.
    Type: Grant
    Filed: February 7, 1997
    Date of Patent: August 3, 1999
    Assignee: Citizen Watch Co., Ltd.
    Inventors: Toru Takizawa, Kathuhiko Nishiwaki
  • Patent number: 5914051
    Abstract: A microwave plasma processing method and apparatus of the type wherein a waveguide section includes electric discharge means isolated from a waveguide for the propagation of microwaves and having a plasma generation region therein, which method and apparatus are well suited for subjecting samples, such as semiconductor device substrates, to an etching process, a film forming process, etc. The microwaves are introduced into the electric discharge means in correspondence with only the traveling direction thereof, whereby uniformity in a plasma density distribution corresponding to the surface to-be-processed of the sample can be sharply enhanced, so that the sample processed by utilizing such plasma can attain an enhanced processing homogeneity within the surface to-be-processed.
    Type: Grant
    Filed: May 18, 1995
    Date of Patent: June 22, 1999
    Assignee: Hitachi, Ltd.
    Inventors: Saburo Kanai, Yoshinao Kawasaki, Kazuaki Ichihashi, Seiichi Watanabe, Makoto Nawata
  • Patent number: 5914278
    Abstract: A modular semiconductor wafer processing system comprises a chamber with a wafer support and gas manifold structure that supplies reactive gases through a showerhead delivery system to one side of a wafer-being-processed and that exhausts both the reactive gases and a non-reactive gas flow. The other side of the wafer is protected from the reactive gases by evenly delivering the non-reactive gases from a platen close to the wafer. The gap between the wafer and platen, and the choice of non-reactive gas and its flow rate are adjusted to optimize the protection afforded to the wafer's one side while still allowing, for example, the stripping of a silicon nitride film from the wafer's other side.
    Type: Grant
    Filed: January 23, 1997
    Date of Patent: June 22, 1999
    Assignee: Gasonics International
    Inventors: Charles A. Boitnott, Robert A. Shepherd, Jr.
  • Patent number: 5911833
    Abstract: A method for in-situ cleaning of a chuck that bears a semiconductor wafer in a semiconductor manufacturing machine maintains a processing chamber in a sealed condition with the chuck inside the chamber. A wafer bearing surface of the chuck is exposed upon determining that the chuck requires a cleaning. A cleaning gas is then injected into the chamber and RF power is applied to the chamber to create a plasma that cleans the wafer bearing surface. Since the processing chamber is maintained in a sealed condition during the in-situ cleaning of the chuck, the time required to clean the chuck and prepare the chamber for continued production runs is greatly reduced.
    Type: Grant
    Filed: January 15, 1997
    Date of Patent: June 15, 1999
    Assignee: LAM Research Corporation
    Inventors: Dean Denison, William Harshbarger, Anwar Husain, C. Robert Koemtzopoulos, Felix Kozakevich, David Trussell
  • Patent number: 5885361
    Abstract: A method of cleaning a hydrogen plasma down-stream apparatus for processing a material in a process chamber by guiding a down-stream of hydrogen plasma generated in a plasma generating space onto the material via a gas flow path with an inner main portion thereof being made of quartz, wherein plasma of a gas containing hydrogen, preferably containing hydrogen and water vapor, is generated in the plasma generating space, nitrogen fluoride is added at a down-stream position from the plasma, and a down-stream of the plasma is directed to the process chamber to clean the gas flow path. Amount of hydrogen radicals can be monitored by a metal sheath thermocouple. A hydrogen plasma down-stream apparatus suitable for removing a native oxide film or a resist film on the surface of silicon can be efficiently cleaned without disassembling it.
    Type: Grant
    Filed: May 4, 1995
    Date of Patent: March 23, 1999
    Assignee: Fujitsu Limited
    Inventors: Jun Kikuchi, Shuzo Fujimura
  • Patent number: 5882489
    Abstract: A method for removing a resist layer, particularly in via holes, includes plasma to remove organic compounds, rinsing the device in deionized water, and sputtering with argon to remove inorganic compounds. The order of rinsing and sputtering can be reversed. These methods avoid the use of acids and industrial solvents.
    Type: Grant
    Filed: April 26, 1996
    Date of Patent: March 16, 1999
    Assignee: Ulvac Technologies, Inc.
    Inventors: Richard L. Bersin, Han Xu
  • Patent number: 5874013
    Abstract: To realize etching with a high selection ratio and a high accuracy in fabrication of an LSI, the composition of dissociated species of a reaction gas is accurately controlled when dry-etching a thin film on a semiconductor substrate by causing an inert gas excited to a metastable state in a plasma and a flon gas to interact with each other, and selectively obtaining desired dissociated species.
    Type: Grant
    Filed: May 15, 1997
    Date of Patent: February 23, 1999
    Assignee: Hitachi, Ltd.
    Inventors: Takafumi Tokunaga, Sadayuki Okudaira, Tatsumi Mizutani, Kazutami Tago, Hideyuki Kazumi, Ken Yoshioka
  • Patent number: 5858259
    Abstract: A plasma processing apparatus and method is equipped with a vacuum chamber, helmholtz coils, a microwave generator and gas feeding systems. An auxiliary magnet is further provided in order to strengthen the magnetic field in the vacuum chamber to produce centrifugal drifting force which confine the plasma gas about the center position of the vacuum chamber.
    Type: Grant
    Filed: July 14, 1997
    Date of Patent: January 12, 1999
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Naoki Hirose, Takashi Inujima, Toru Takayama
  • Patent number: 5855728
    Abstract: A cleaning method for realizing uniform ashing of residues at a high efficiency by uniformly injecting neutral active species directly toward the surfaces of vulcanization residues left on a vulcanization mold is described. The method includes setting a ring-shaped cavity in face-to-face reaction with the inner surfaces of a ring-shaped vulcanization mold in a vacuum treating vessel, transmitting a microwave in the cavity, and emitting the microwave via a number of coupling slots formed in the outer side of the cavity toward the inner surfaces of the vulcanization mold whereupon a reaction gas is injected in the space of the treating vessel between the inner surfaces of the vulcanization mold and the cavity, so that a plasma including a major proportion of neutral active species generated from the reaction gas and the emitted microwave, and the elastomer residue is ashed by means of at least one gas selected from the plasma gas and a neutral active species-containing gas.
    Type: Grant
    Filed: February 18, 1997
    Date of Patent: January 5, 1999
    Assignee: Bridgestone Corporation
    Inventors: Shinji Saitoh, Shingo Ohno, Nobuko Kato, Kazuo Naito, Yasuhiro Horiike
  • Patent number: 5827435
    Abstract: A plasma processing method is provided which suppresses the charge accumulation on a processing object such as a semiconductor substrate. An alternating excitation signal in the form of pulses for exciting the plasma is supplied to a reaction gas contained in a plasma chamber, each pulse having an on-period t.sub.on for supplying the excitation signal and an off-period t.sub.off for stopping the excitation signal. The off period ranges from 10 to 100 .mu.sec. The on-period may be determined as needed. An alternating bias signal for biasing the processing object is also applied to the object in the chamber. The bias signal has a frequency of at most 600 kHz. As a result, an increased number of positive and negative ions impinge the object thus increasing the processing rate and reducing the charge accumulation compared to prior art processes.
    Type: Grant
    Filed: October 26, 1995
    Date of Patent: October 27, 1998
    Assignee: NEC Corporation
    Inventor: Seiji Samukawa
  • Patent number: 5804033
    Abstract: The present invention relates to a microwave plasma processing method and apparatus. According to the present invention, the microwaves are introduced into the electric discharge means in correspondence with only the traveling direction thereof, whereby uniformity in a plasma density distribution corresponding to the surface to-be-processed of the sample can be sharply enhanced, so that the sample processed by utilizing such plasma can attain an enhanced processing homogeneity within the surface to be processed. In addition, homogeneity and stability of the plasma are improved by inserting a cavity resonator between the microwave generator and plasma processing (plasma generating) chamber, and coupling the cavity resonator and plasma processing chamber such that microwaves substantially only of a desired mode (e.g., TE.sub.11) pass into the plasma processing chamber. Such coupling to provide microwaves substantially only of circular TE.sub.
    Type: Grant
    Filed: March 10, 1993
    Date of Patent: September 8, 1998
    Assignee: Hitachi, Ltd.
    Inventors: Saburo Kanai, Yoshinao Kawasaki, Kazuaki Ichihashi, Seiichi Watanabe, Makoto Nawata, Muneo Furuse, Tetsunori Kaji
  • Patent number: 5788798
    Abstract: A plasma processing apparatus carries out plasma processings such as etching, ashing and CVD on large substrates such as semiconductor device substrates and glass substrates for liquid crystal display panels, etc. The plasma processing apparatus having microwave generator 26, a microwave guide path 23, a microwave window 4 and a reaction room 2, etc., has a dielectric sheet 21 disposed to confront the microwave window 4 through a hollow area 20. The dielectric sheet is divided into multiple dielectric sheets 21a, 21b, and the microwave guide path 23a, 23b are connected to the divided dielectric sheets 21a, 21b. This simple structure enables the stable and uniform plasma processing on large substrates such as glass substrates for liquid crystal display panels.
    Type: Grant
    Filed: May 31, 1996
    Date of Patent: August 4, 1998
    Assignee: Sumitomo Metal Industries, Ltd.
    Inventors: Hiroshi Mabuchi, Takahiro Yoshiki, Naoki Matsumoto, Kyoichi Komachi, Shuta Kanayama, Toshiki Ebata
  • Patent number: 5770100
    Abstract: A post-etch treatment method capable of imparting high corrosion prevention performance to the aluminum-containing wiring films. The sample of aluminum-containing wiring material that is etched using the halogen-type gas is treated with the plasma of a gas that has the oxygen component, and the resist formed on the aluminum-containing wiring material is reacted with oxygen and is removed. Further, the plasma is generated using a gas having the hydrogen component or this gas is liquefied into droplets thereof on the sample surface, so that halogen components (Cl, Br, etc.) adhered to the aluminum-containing wiring material through the etching treatment are reacted with hydrogen and are effectively removed in the form of hydrogen chloride (HCl) or hydrogen bromide (HBr). This makes it possible to obtain the aluminum-containing wiring material having high corrosion prevention performance.
    Type: Grant
    Filed: June 12, 1996
    Date of Patent: June 23, 1998
    Inventors: Ryooji Fukuyama, Makoto Nawata, Yutaka Kakehi, Hironobu Kawahara, Yoshiaki Sato, Yoshimi Torii, Akira Kawaraya, Yoshie Sato
  • Patent number: 5766494
    Abstract: According to the present invention, there is provided an etching method comprising the steps of forming a first thin film on a surface of a substrate to be processed, supporting the substrate to be processed, forming a second thin film serving to deactivate an active gas used for etching the first thin film, on a surface of a mask plate piece used as the first thin film mask, fixing the mask plate piece so that the first thin film and the second thin film oppose to each other with a predetermined distance therebetween, and etching the first thin film by supplying the active gas to the first thin film via the mask plate piece.
    Type: Grant
    Filed: August 28, 1995
    Date of Patent: June 16, 1998
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Haruki Mori, Yukimasa Yoshida, Koji Shimomura
  • Patent number: 5763328
    Abstract: In an ashing method for ashing a wafer having an aluminum wiring layer etched by a chlorine containing gas, the wafer is ashed under the conditions that a mixture gas composed of an oxygen gas and at least one kind of alcohol gas selected from CH.sub.3 OH, C.sub.2 H.sub.5 OH, n--C.sub.3 H.sub.7 OH, and i--C.sub.3 H.sub.7 OH is used as an ashing gas, a flow ratio between the alcohol gas and the oxygen gas is set in the range of 1:1 to 1:5, pressure in an ashing chamber is set to 200 Pa or more, and temperature in the ashing chamber is set in the range of 200.degree. C. to 270.degree. C. Accordingly, corrosion in the aluminum wiring layer due to a residual component of the chloride containing gas in a photoresist film can be prevented.
    Type: Grant
    Filed: April 29, 1996
    Date of Patent: June 9, 1998
    Assignee: Sony Corporation
    Inventors: Syuuichi Yoshihara, Junichi Taniguchi
  • Patent number: 5750208
    Abstract: A method for performing plasma downstream processing by generating plasma of an oxygen-containing gas with a microwave in a space having a thickness of 1/10, or less, of a wavelength .lambda. of the microwave, deriving the generated plasma of the oxygen containing gas from such space through an opening formed around the central portion of such plasma generating space through a gap having a loop-shaped cross section in a plane parallel to the space and folded cross section in a plane including a central portion normal to such space; and irradiating the generated plasma of the oxygen containing gas derived from the plasma generating space to an object to be processed.
    Type: Grant
    Filed: July 17, 1996
    Date of Patent: May 12, 1998
    Assignee: Fujitsu Limited
    Inventor: Satoru Mihara
  • Patent number: 5746929
    Abstract: A process for structuring polymer films, such as printed circuit boards and film boards, uses a plasma, which is formed in a container by the excitation of gas mixtures by microwaves, in which there is a control of the surface temperature of the polymer films during structuring by the plasma. By adjusting the parameters it is possible to operate just below a material-damaging temperature limit for polymer films, so that structures or microshapes are carefully plasma-eroded in polymer films. At high surface temperatures and with a dense plasma, structures or microshapes are rapidly eroded by the plasma, in which the structures or microshapes are homogeneously plasma-eroded with a uniform distribution of the surface temperature and gas flow.
    Type: Grant
    Filed: August 18, 1997
    Date of Patent: May 5, 1998
    Assignee: Dyconex Patente AG
    Inventors: Walter Schmidt, Hermann Schmid
  • Patent number: 5733820
    Abstract: Silicon material layers formed on an oxide underlayer are attached using a plasma including a gas mixture of a halogen and oxygen. Intensities of first emissions from the plasma at a first wavelength and second emissions from the plasma at a second wavelength are measured. A ratio of the first emissions intensity to the second emissions intensity is determined. The selectivity of silicon layers to oxide underlayers is measured for various conditions of the plasma under which the emissions intensity ratio is obtained. A correlation between the emissions intensity ratio and the selectivity is then established for various etching parameters. A plasma condition to obtain a desired selectivity may then be appropriately set using the established correlation.
    Type: Grant
    Filed: April 24, 1996
    Date of Patent: March 31, 1998
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Kouichiro Adachi, Satoshi Morishita, Kazuo Sugimoto
  • Patent number: 5698071
    Abstract: A wafer (11) is conveyed in a vacuum from an Al etching chamber after the Al etching and is fed into an ashing chamber (15) without coming into contact with the atmosphere. After the wafer (11) was conveyed, CH.sub.3 OH gas of 200 sccm is first introduced by a valve (30a) and a pressure is adjusted to 1.2 Torr. Subsequently, a microwave current of 450 mA is supplied, thereby forming a plasma. The wafer (11) is processed by a down-flow system of a CH.sub.3 OH plasma. The supply of the CH.sub.3 OH gas is stopped by closing the valve (30a). Next, oxygen gas of 400 sccm is introduced by opening a valve (30b). A microwave current of 450 mA is supplied at a pressure of 1.2 Torr, thereby forming a plasma. A resist on the wafer 11 is ashed and eliminated by a down-flow process of an oxygen plasma. By those processes, the corrosion prevention and the resist ashing can be perfectly executed.
    Type: Grant
    Filed: April 22, 1996
    Date of Patent: December 16, 1997
    Assignee: NEC Corporation
    Inventor: Hideaki Kawamoto
  • Patent number: 5681424
    Abstract: A method of cleaning an etching chamber, with a high throughput, of a plasma processing apparatus for etching by use of hydrogen bromide (HBr) as an etching gas while holding a wafer on an electrode by electrostatic chuck. When the static charge on the wafer electrostatically chucked on the electrode is eliminated after the completion of the etching, O.sub.2 gas is introduced into the etching chamber from a gas flow-rate controller. A plasma of O.sub.2 gas is generated to cause the electric charge on the wafer to flow to the earth through the plasma, and at the same time, the interior of the etching chamber is cleaned.
    Type: Grant
    Filed: February 20, 1996
    Date of Patent: October 28, 1997
    Assignee: Hitachi, Ltd.
    Inventors: Go Saito, Motohiko Yoshigai, Kenji Fujimoto
  • Patent number: 5662770
    Abstract: This invention is a hardware modification which permits greater uniformity of etching to be achieved in a high-density-source plasma reactor (i.e., one which uses a remote source to generate a plasma, and which also uses high-frequency bias power on the wafer chuck). The invention addresses the uniformity problem which arises as the result of nonuniform power coupling between the wafer and the walls of the etch chamber. The solution to greatly mitigate the nonuniformity problem is to increase the impedance between the wafer and the chamber walls. This may be accomplished by placing a cylindrical dielectric wall around the wafer. Quartz is a dielectric material that is ideal for the cylindrical wall if silicon is to be etched selectively with respect to silicon dioxide, as quartz it is virtually inert under such conditions.
    Type: Grant
    Filed: April 16, 1993
    Date of Patent: September 2, 1997
    Assignee: Micron Technology, Inc.
    Inventor: Kevin G. Donohoe
  • Patent number: 5639341
    Abstract: Sticking layer forming material is provided so as to be exposed to plasma, partially on an anti-deposition plate mounted on the inner wall of a process chamber of an ECR (or microwave) plasma etching system. The sticking layer forming material is refractory metal such as W or refractory metal silicide. After a wafer with a photoresist layer is set on a susceptor, a plasma process (aging process) is performed to form a sticking layer of low hardness on the inner wall of the process chamber, the sticking layer containing WCl.sub.5, WCl.sub.6, etc. and resist components. This sticking layer prevents Si oxyhalide from being scaled off the inner wall of the process chamber during the etching process of Si-containing material after the aging process. The sticking layer forming material may be provided on a wafer and the resist components may be supplied from gas. In this manner, particles are reduced which are otherwise generated more while Si-containing material such as silicide is etched.
    Type: Grant
    Filed: January 30, 1996
    Date of Patent: June 17, 1997
    Assignee: Yamaha Corporation
    Inventor: Suguru Tabara
  • Patent number: 5628883
    Abstract: A method for generating plasma, comprising irradiating an electromagnetic wave to an energy converter capable of converting an irradiated electromagnetic wave to an electric energy and discharging said electric energy, in the presence of a rare gas in a chamber. A method for activating plasma, further comprising applying an electric cr magnetic field to said generated plasma, a process for treating a substrate, using the generated and optionally activated plasma, and apparatuses therefor are also disclosed.
    Type: Grant
    Filed: February 10, 1994
    Date of Patent: May 13, 1997
    Assignee: Japan Vilene Co. Ltd.
    Inventors: Kazuo Sugiyama, Hiroaki Yamazaki, Yasuhiro Hirose
  • Patent number: 5609774
    Abstract: A microwave-assisted plasma processing apparatus has a reaction chamber in which a substrate holder is provided to support a substrate to be treated. The holder is formed congruent with the inside of reaction chamber and located to substantially separate a reaction space in the reaction chamber save for a narrow clearance therebetween through which exhausted gas passes from said reaction space into said auxiliary space. By this structure, high density plasmas can be formed in the reaction chamber without substantial loss of input microwave energy.
    Type: Grant
    Filed: February 10, 1994
    Date of Patent: March 11, 1997
    Assignee: Semiconductor Energy Laboratory Co., Inc.
    Inventors: Shunpei Yamazaki, Masaya Kadono, Kenji Itoh, Toru Takayama, Yasuyuki Arai, Noriya Ishida
  • Patent number: 5580420
    Abstract: A microwave penetrating window and a cavity which are substantially equal in diameter to a plasma generating chamber are successively connected to the plasma generating chamber and microwaves are introduced via the cavity into the plasma generating chamber. A processing gas in the plasma generating chamber is converted into a plasma by means of the microwaves introduced into the plasma generating chamber and the microwaves in specific modes are resonated in between a microwave reflective interface with the plasma generated in the plasma generating chamber and the reflective edge face of the cavity. The microwaves in the specific modes are thus formed in the cavity and the energy of the microwaves in the specific modes is increased by resonance. The boosted energy is added to the plasma and the plasma is densified accordingly. Moreover, a plasma excellent in uniformity and stability can be generated by resonating the microwaves in the specific modes in the presence of a uniform electromagnetic field.
    Type: Grant
    Filed: September 16, 1994
    Date of Patent: December 3, 1996
    Assignee: Hitachi, Ltd.
    Inventors: Katsuya Watanabe, Tetsunori Kaji, Naoyuki Tamura, Kenji Nakata, Hiroyuki Shichida, Seiichi Watanabe, Sadayuki Okudaira, Keizo Suzuki
  • Patent number: 5573679
    Abstract: Capacitive microphones are fabricated using etch-release of sacrificial silicon by an isotropic dry etchant. The process allows the production of a microphone largely from CVD processes with flexibility in materials selection. The dry etch chemistry does not require freeze-drying after release. The etchant does not attack electrodes or metallized circuitry and so allows the placement of the electrodes between the backplate and diaphragm dielectric layers. Diffusion barrier layers between the sacrificial and electrode layers protect both materials from interdiffusion during device fabrication. The process is especially fitting for a microphone comprising silicon nitride dielectric layers with aluminum electrodes.
    Type: Grant
    Filed: June 19, 1995
    Date of Patent: November 12, 1996
    Assignees: Alberta Microelectronic Centre, Harris Canada Inc.
    Inventors: Alan W. Mitchell, Yuebin B. Ning, R. Niall Tait
  • Patent number: 5560803
    Abstract: A method for ashing a resist on a wafer in a plasma reaction chamber comprises the steps of flowing a non-activated oxygen containing gas into the plasma reaction chamber immediately before loading the wafer to the plasma reaction chamber, and then carrying out a plasma ashing of the resist. In one of the preferred embodiments, after the reaction chamber was exposed to the atmosphere and then evacuated to vacuum, a mixed gas of oxygen (90% in volume) and water vapor (10% in volume) was flown into the reaction chamber with 1000 seem and 1 Torr for 5 min. and subsequently the ashing was carried out. The method prevents the ashing rate from decreasing with ashing time.
    Type: Grant
    Filed: November 30, 1994
    Date of Patent: October 1, 1996
    Assignees: Fujitsu Limited, Fujitsu VLSI Limited
    Inventors: Satoru Mihara, Daisuke Komada
  • Patent number: 5520771
    Abstract: The present invention relates to a microwave plasma processing method and apparatus. More particularly, it relates to a microwave plasma processing method and apparatus of the type wherein a waveguide section includes electric discharge means isolated from a waveguide for the propagation of microwaves and having a plasma generation region therein, which method and apparatus are well suited for subjecting samples, such as semiconductor device substrates, to an etching process, a film forming process, etc.According to the present invention, the microwaves are introduced into the electric discharge means in correspondence with only the traveling direction thereof, whereby uniformity in a plasma density distribution corresponding to the surface to-be-processed of the sample can be sharply enhanced, so that the sample processed by utilizing such plasma can attain an enhanced processing homogeneity within the surface to-be-processed.
    Type: Grant
    Filed: May 18, 1995
    Date of Patent: May 28, 1996
    Assignee: Hitachi, Ltd.
    Inventors: Saburo Kanai, Yoshinao Kawasaki, Kazuaki Ichihashi, Seiichi Watanabe, Makoto Nawata
  • Patent number: 5515984
    Abstract: A method for etching a Pt film of the present invention includes the steps of: forming an etching resistant film on a Pt film, followed by patterning; etching the Pt film by using as an etching mask the etching resistant film and by using, as an etching gas, a mixed gas containing oxygen gas and chlorine gas or chloride gas, during which layers made of PtCl.sub.x O.sub.y or a mixture containing PtCl.sub.x and PtO.sub.y are formed on side walls of the etching resistant film and the Pt film; and removing the layers made of PtCl.sub.x O.sub.y or the mixture containing PtCl.sub.x and PtO.sub.y with an acid by wet etching after the etching step.
    Type: Grant
    Filed: January 24, 1995
    Date of Patent: May 14, 1996
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Seiichi Yokoyama, Yasuyuki Ito, Shigeo Onishi, Jun Kudo, Keizo Sakiyama
  • Patent number: 5498308
    Abstract: A plasma asher which obviates microwave leakage and attendant problems by providing a microwave trap proximate the opening through which the plasma tube exits the microwave cavity.
    Type: Grant
    Filed: February 25, 1994
    Date of Patent: March 12, 1996
    Assignee: Fusion Systems Corp.
    Inventors: Mohammad Kamarehi, James E. Simpson
  • Patent number: 5498312
    Abstract: A method for anisotropic plasma etching of silicon substrates, a plasma etching apparatus for implementing the method, and an electronic device manufactured according to the method, which method includes the steps of positioning a substrate having a surface to be depleted by etching within a processing chamber and in communication with an electrode; introducing a gas mixture including an etching gas and a passivating gas which are essentially free of chlorine, bromine or iodine into the processing chamber, the etching gas including at least one halogen or halogen compound and the passivating gas including at least one polymer-generating monomer; exciting the gas mixture with electromagnetic radiation effective to produce a plasma containing ions; and applying a voltage to one of the substrate or the electrode to accelerate the ions toward the substrate and provide the ions with an energy ranging from about 1 to about 40 eV, preferably from about 10 to about 30 eV, when the ions impinge on the surface of the s
    Type: Grant
    Filed: May 17, 1994
    Date of Patent: March 12, 1996
    Assignee: Robert Bosch GmbH
    Inventors: Franz Laermer, Andrea Schilp
  • Patent number: 5478403
    Abstract: A process and apparatus for the ashing treatment in which a plasma generated by activating a gas containing at least oxygen is applied to a material to be treated, on which a coating film of an organic is formed, through a plasma-transmitting plate for capturing charged particles in the plasma and allowing the transmission of neutral active species, to thereby ash the coating film of the organic substance. The material to be treated is placed at a position at which charged particles of a high energy, which have been transmitted through the plasma-transmitting plate without being captured by the plasma-transmitting plate, impinge thereon together with the neutral active species which have been transmitted through the plasma-transmitting plate. Also, the ashing treatment process and apparatus includes a distance-adjusting device for adjusting the distance between the plasma-transmitting plate and the material to be treated, by changing the position of the material to be treated.
    Type: Grant
    Filed: December 27, 1994
    Date of Patent: December 26, 1995
    Assignee: Fujitsu Limited
    Inventors: Keisuke Shinagawa, Shuzo Fujimura
  • Patent number: 5468341
    Abstract: A plasma-etching method and an apparatus therefor are provided, in which microwaves are introduced and a high frequency electric fields generated thereby is pulse-modulated by a signal of a frequency higher than about 10 kHz for producing the plasmas for etching a wafer. The pulse modulation of the high frequency electric fields may be performed with a pulse interval time shorter than about 10 .mu.s. The radical production ratio in ECR plasmas, ion temperature and charge accumulation can be controlled thereby enabling the etching performance at a high precision.
    Type: Grant
    Filed: March 28, 1994
    Date of Patent: November 21, 1995
    Assignee: NEC Corporation
    Inventor: Seiji Samukawa
  • Patent number: RE36224
    Abstract: A microwave plasma processing process and apparatus useful in the fabrication of integrated circuit (IC) or similar semiconductor devices, wherein the object or material to be processed, such as a semiconductor wafer, is processed with plasma generated using microwaves transmitted through a microwave transmission window disposed perpendicular to an electric field of the progressive microwaves in the waveguide.
    Type: Grant
    Filed: November 15, 1996
    Date of Patent: June 8, 1999
    Assignee: Fujitsu Limited
    Inventors: Shuzo Fujimura, Toshimasa Kisa, Yasunari Motoki