Using Microwave To Generate The Plasma Patents (Class 216/69)
  • Publication number: 20110017706
    Abstract: A wafer is disposed in a chamber, a plasma generating space is formed in the chamber, plasma processing is performed to the front surface of the processing object while keeping at least the front surface of the processing object in contact with the plasma generating space. The plasma processing is performed with the plasma generating space being kept in contact with at least the peripheral region of the back surface of the processing object.
    Type: Application
    Filed: July 10, 2008
    Publication date: January 27, 2011
    Applicant: Tokyo Electron Limited
    Inventors: Tetsuro Takahashi, Yutaka Fujino, Hiroyuki Toshima, Atsushi Kubo, Song Yun Kang, Peter Ventzek, Sumie Segawa
  • Patent number: 7855150
    Abstract: A method and a plasma system are provided for anisotropically etching structures into a substrate positioned in an etching chamber, e.g., structures defined using an etching mask in a silicon substrate, using a plasma. For this purpose, the etching chamber is supplied at least intermittently with an etching gas and at least intermittently with a passivation gas, the passivation gas being supplied to the etching chamber in cycles having a time period between 0.05 second and 1 second. In the plasma system, in addition to a plasma source, via which the plasma acting on the substrate may be produced, an arrangement is provided for at least temporary supply of the etching gas and at least temporary supply of the passivation gas to the etching chamber, which arrangement is designed in such a way that the passivation gas may be supplied to the etching chamber in cycles having a time period between 0.05 second and 1 second.
    Type: Grant
    Filed: September 9, 2003
    Date of Patent: December 21, 2010
    Assignee: Robert Bosch GmbH
    Inventors: Franz Laermer, Andrea Urban
  • Patent number: 7846347
    Abstract: The invention provides for a method and integrated system for removing a halogen-containing residue from a substrate comprising etching the substrate, heating the substrate and exposing the heated substrate to a plasma that removes the halogen-containing residue.
    Type: Grant
    Filed: July 19, 2007
    Date of Patent: December 7, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Mark N. Kawaguchi, James S. Papanu, Scott Williams, Matthew Fenton Davis
  • Publication number: 20100301012
    Abstract: A device for producing microwave plasma with a high plasma density. The device comprises at least one microwave supply that is surrounded by an outer dielectric tube. The microwave supply is surrounded by, in addition to the outer dielectric tube, at least one inner dielectric tube that extends inside the outer dielectric tube. The outer dielectric tube and the at least one inner dielectric tube form at least one area that is suitable for receiving and conducting a fluid. The device can be cooled by a fluid. A process gas can be fed into the plasma region by the outer dielectric tube.
    Type: Application
    Filed: October 11, 2007
    Publication date: December 2, 2010
    Applicant: Iplas Innovative Plasma Systems GmbH
    Inventor: Ralf Spitzl
  • Patent number: 7807579
    Abstract: An oxygen-free hydrogen plasma ashing process particularly useful for low-k dielectric materials based on hydrogenated silicon oxycarbide materials. The main ashing step includes exposing a previously etched dielectric layer to a plasma of hydrogen and optional nitrogen, a larger amount of water vapor, and a yet larger amount of argon or helium. Especially for porous low-k dielectrics, the main ashing plasma additionally contains a hydrocarbon gas such as methane. The main ashing may be preceded by a short surface treatment by a plasma of a hydrogen-containing reducing gas such as hydrogen and optional nitrogen.
    Type: Grant
    Filed: April 19, 2007
    Date of Patent: October 5, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Chan-Syun Yang, Changhun Lee
  • Publication number: 20100230387
    Abstract: Occurrence of a back-flow of plasma or ignition of gas for plasma excitation in a longitudinal hole portion can be prevented more completely, and a shower plate in which efficient plasma excitation is possible is provided. In shower plate 105, which is arranged in processing chamber 102 of a plasma processing apparatus and discharges gas for plasma excitation into processing chamber, porous-gas passing body 114 having a pore that communicates in the gas flow direction is fixed onto longitudinal hole 112 used as a discharging path of gas for plasma excitation. The pore diameter of a narrow path in a gas flowing path formed of a pore, which communicates to porous-gas passing body 114, is 10 ?m or lower.
    Type: Application
    Filed: June 13, 2007
    Publication date: September 16, 2010
    Applicants: TOKYO ELECTRON LIMITED, NATIONAL UNIVERSITY CORPORATION TOHOKU UNIVERSITY
    Inventors: Masahiro Okesaku, Tetsuya Goto, Tadahiro Ohmi, Kiyotaka Ishibashi
  • Publication number: 20100215541
    Abstract: A device for producing high power microwave plasmas. The device comprises at least one microwave feed that is surrounded by at least one dielectric tube. A dielectric fluid flows through the space between the microwave feed and the outer dielectric tube. The dielectric fluid has a small dielectric loss factor tan ? in the region of between 10?2 to 10?7. A fluid cools at least the outer dielectric tube.
    Type: Application
    Filed: October 11, 2007
    Publication date: August 26, 2010
    Inventor: Ralf Spitzl
  • Publication number: 20100178775
    Abstract: A shower plate is disposed in a processing chamber in a plasma processing apparatus, and plasma excitation gas is released into the processing chamber so as to generate plasma. A ceramic member having a plurality of gas release holes having a diameter of 20 ?m to 70 ?m, and/or a porous gas-communicating body having pores having a maximum diameter of not more than 75 ?m communicating in the gas-communicating direction are sintered and bonded integrally with the inside of each of a plurality of vertical holes which act as release paths for the plasma excitation gas.
    Type: Application
    Filed: September 26, 2007
    Publication date: July 15, 2010
    Applicants: TOKYO ELECTRON LIMITED, NATIONAL UNIVERSITY CORPORATION TOHOKU UNIVERSITY
    Inventors: Masahiro Okesaku, Tadahiro Ohmi, Tetsuya Goto, Takaaki Matsuoka, Toshihisa Nozawa, Atsutoshi Inokuchi, Kiyotaka Ishibashi
  • Publication number: 20100116790
    Abstract: A device for locally producing microwave plasma. The device comprises at least one microwave feed that is surrounded by at least one dielectric tube. At least one of the dielectric tubes, such as an outer dielectric tube, is partially surrounded by a metal jacket. A locally delimited plasma is produced by the device by shielding microwaves.
    Type: Application
    Filed: October 11, 2007
    Publication date: May 13, 2010
    Applicant: iplas Innovative Plasma Systems GmbH
    Inventor: Ralf Spitzl
  • Publication number: 20100096362
    Abstract: In a plasma processing apparatus 10, a microwave transmitted from a microwave source 900 to a coaxial waveguide 600 via a branch waveguide 905 is split into a plurality of microwaves by a branch plate 610 and then transmitted to each internal conductor 315a of a plurality of coaxial waveguides. The microwave transmitted through each internal conductor 315a of the coaxial waveguides is emitted into a processing chamber 100 from each dielectric plate 305 connected with each internal conductor 315a. A desired plasma processing is performed on a substrate G by exciting a processing gas introduced into the processing chamber 100 by the emitted microwave. Expandability for the scale-up is improved by using the plurality of dielectric plates 305. It is possible to design a compact transmission line and supply a low frequency microwave by using the coaxial waveguide in the transmission line.
    Type: Application
    Filed: June 11, 2008
    Publication date: April 22, 2010
    Applicants: TOKYO ELECTRON LIMITED, TOHOKU UNIVERSITY
    Inventors: Masaki Hirayama, Tadahiro Ohmi
  • Publication number: 20100089871
    Abstract: Provided is a plasma processing apparatus including a processing vessel accommodating a target object; a microwave generator configured to generate a microwave; a waveguide configured to induce the microwave to the processing vessel; a planar antenna having a plurality of microwave radiation holes through which the microwave induced to the waveguide is radiated toward the processing vessel; a microwave transmission plate configured to serve as a ceiling wall of the processing vessel and transmit the microwave passed from the microwave radiation holes of the planar antenna; a processing gas inlet unit configured to introduce a processing gas into the processing vessel; and a magnetic field generating unit positioned above the planar antenna and configured to generate a magnetic field within the processing vessel and control a property of plasma of the processing gas by the magnetic field, the plasma being generated by the microwave within the processing vessel.
    Type: Application
    Filed: February 26, 2008
    Publication date: April 15, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Hiraku Ishikawa, Yasuhiro Tobe
  • Publication number: 20090311869
    Abstract: Provided is a shower plate capable of more securely preventing the occurrence of backflow of plasma and enabling efficient plasma excitation. A shower plate 106 is disposed in a processing chamber 102 of a plasma processing apparatus and is provided with a plurality of gas discharge holes 113a for discharging a plasma excitation gas to generate plasma in the processing chamber 102, wherein an aspect ratio of a length of the gas discharge hole to a hole diameter thereof (length/hole diameter) is equal to or greater than about 20. The gas discharge holes 113a are made of ceramics members 113 which are separated from the shower plate 106, and the ceramics members 113 are installed in vertical holes 105 opened in the shower plate 106.
    Type: Application
    Filed: July 18, 2007
    Publication date: December 17, 2009
    Applicants: TOKYO ELECTRON LIMITED, NATIONAL UNIVERSITY CORP. TOHOKU UNIVERSITY
    Inventors: Masahiro Okesaku, Tetsuya Goto, Tadahiro Ohmi, Kiyotaka Ishibashi
  • Patent number: 7601619
    Abstract: A method and an apparatus for plasma processing which can accurately monitor an ion current applied to the surface of a sample. Predetermined gas is exhausted via an exhaust port by a turbo-molecular pump while introducing the gas within the vacuum chamber from a gas supply device, and the pressure within the vacuum chamber is kept at a predetermined value by a pressure regulating valve. A high-frequency power supply for a plasma source supplies a high-frequency power to a coil provided near a dielectric window to generate inductively coupled plasma within the vacuum chamber. A high-frequency power supply for the sample electrode for supplying the high-frequency power to the sample electrode is provided. A matching circuit for the sample electrode and a high-frequency sensor are provided between the sample electrode high-frequency power supply and the sample electrode. An ion current applied to the surface of a sample can be accurately monitored buy using the high-frequency sensor and an arithmetic device.
    Type: Grant
    Filed: April 4, 2006
    Date of Patent: October 13, 2009
    Assignee: Panasonic Corporation
    Inventors: Tomohiro Okumura, Yuichiro Sasaki, Katsumi Okashita, Hiroyuki Ito, Bunji Mizuno, Cheng-Guo Jin, Ichiro Nakayama
  • Publication number: 20090212018
    Abstract: An apparatus and the use of such an apparatus and method for producing microcomponents with component structures are presented which are generated in a process chamber on a substrate according to the LIGA method for example and are stripped from the enclosing photoresist with the help of a cooled remote plasma source.
    Type: Application
    Filed: December 8, 2008
    Publication date: August 27, 2009
    Inventor: Josef MATHUNI
  • Publication number: 20090152243
    Abstract: [Problem] To provide a plasma processing apparatus and a method thereof, which is capable of generating plasma evenly on the lower surface of a dielectric. [Means for Solving] A plasma processing apparatus 1, in which microwave is propagated into a dielectric 32 provided on an upper surface of a processing chamber 4 via plural slots 70 formed on a lower surface of a waveguide 35 and a processing gas supplied in the processing chamber 4 is made into plasma using electric field energy of an electromagnetic field formed on the surface of the dielectric to perform plasma processing on a substrate G. The plasma processing apparatus 1, concave portions 80a to 80g having different depth are formed on a lower surface of dielectric 32. Further, the depths of the respective concave portions 80a to 80g are made different to control a plasma generation on the lower surface of the dielectric 32.
    Type: Application
    Filed: September 27, 2006
    Publication date: June 18, 2009
    Applicants: Tokyo Electron Limited, Tohoku University
    Inventors: Takahiro Horiguchi, Shinsuke Oka, Masaki Hirayama
  • Publication number: 20090134120
    Abstract: A plasma processing apparatus in which consumption of expensive krypton and xenon gases is suppressed as much as possible while reducing damage on a workpiece during plasma processing. In plasma processing of a substrate using a rare gas, two or more kinds of different rare gases are employed, and an inexpensive argon gas is used as one rare gas and any one or both of krypton and xenon gases having a larger collision cross-sectional area against electron than that of the argon gas is used as the other gas. Consequently, consumption of expensive krypton and xenon gases is suppressed as much as possible and damage on a workpiece is reduced during plasma processing.
    Type: Application
    Filed: September 26, 2005
    Publication date: May 28, 2009
    Inventors: Tadahiro Ohmi, Akinobu Teramoto
  • Publication number: 20090114621
    Abstract: The invention relates to a method and a device (1) for the treatment of materials, in particular continuous materials such as wires, rods, tubes, etc. The device comprises a heating chamber (3) for heating a supplied material (8) during its movement through the heating chamber (3) and a plasma reactor (5) arranged downstream of the heating chamber and comprising at least one discharge chamber (10) through which the material (8) is conveyable continuously and in which the material can be subjected to plasma treatment while moving therethrough.
    Type: Application
    Filed: February 1, 2007
    Publication date: May 7, 2009
    Inventors: Primoz Eiselt, Miran Mozetic, Uros Cvelbar
  • Publication number: 20090065480
    Abstract: Provided is a plasma processing apparatus which can perform uniform processing even when a substrate to be processed has a large area. The plasma processing apparatus propagates microwaves introduced into wave guide tubes to dielectric plates through slots, and performs plasma processing to the surface of the substrate by converting a gas supplied into a vacuum container into the plasma state. In the plasma processing apparatus, a plurality of waveguide tubes are arranged in parallel, a plurality of dielectric plates are arranged for each waveguide tube, and partitioning members formed of a conductor and grounded are arranged between the adjacent dielectric plates. The in-tube wavelength of the waveguide tube is adjusted to be an optimum value by vertically moving a plunger. Furthermore, unintended plasma generation is eliminated in a space between the dielectric plate and the adjacent member, and stable plasma can be efficiently generated.
    Type: Application
    Filed: August 4, 2006
    Publication date: March 12, 2009
    Inventors: Tadahiro Ohmi, Masaki Hirayama
  • Patent number: 7497964
    Abstract: A method to solve such a problem that plasma will not ignite in restarting operation of a processing container that has not been operated with the inside kept drawn to vacuum. Gas containing oxygen is passed in a processing container 21, and ultraviolet light is irradiated to the gas while gas inside the processing container 21 is being discharged. After that, a remote plasma source 26 is driven to ignite plasma.
    Type: Grant
    Filed: May 12, 2006
    Date of Patent: March 3, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Masanobu Igeta, Kazuyoshi Yamazaki, Shintaro Aoyama, Hiroshi Shinriki
  • Patent number: 7485580
    Abstract: A process for removing organic electroluminescent residues from a substrate is described herein. The process includes the steps of providing a process gas comprising a fluorine-containing gas, optionally an oxygen-containing gas, and optionally an additive gas; activating the process gas in a remote chamber using at least one energy source to provide reactive species; and contacting the surface of the substrate with the reactive species to volatilize and remove the organic electroluminescent residue from the surface.
    Type: Grant
    Filed: September 12, 2006
    Date of Patent: February 3, 2009
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Andrew David Johnson, Peter James Maroulis, Mark Ian Sistern, Martin Jay Plishka, Steven Arthur Rogers, John Bartram Dickenson
  • Publication number: 20090008363
    Abstract: In an oxide film etching process, a plasma having a suitable ratio of CF3, CF2, CF, and F is necessary, and there is a problem in that the etching characteristic fluctuates in accordance with a temperature fluctuation of the etching chamber. Using a UHF type ECR plasma etching apparatus having a low electron temperature, a suitable dissociation can be obtained, and by maintaining the temperature of a side wall of the etching chamber in a range from 10° C. and 120° C., a stable etching characteristic can be obtained. Since oxide film etching using a low electron temperature and a high density plasma can be obtained, an etching result having a superior characteristic can be obtained, and, also, since the side wall temperature adjustment range is low, a simplified apparatus structure and a heat resistant performance countermeasure can be obtained easily.
    Type: Application
    Filed: September 2, 2008
    Publication date: January 8, 2009
    Inventors: Kazue Takahashi, Toshio Masuda, Tetsunori Kaji, Ken'etsu Yokogawa
  • Patent number: 7465406
    Abstract: In certain implementations, methods and apparatus include an antenna assembly having at least two overlapping and movable surface microwave plasma antennas. The antennas have respective pluralities of microwave transmissive openings formed therethrough. At least some of the openings of the respective antennas overlap with at least some of the openings of another antenna, and form an effective plurality of microwave transmissive openings through the antenna assembly. Microwave energy is passed through the effective plurality of openings of the antenna assembly and to a flowing gas effective to form a surface microwave plasma onto a substrate received within the processing chamber. At least one of the antennas is moved relative to another of the antennas to change at least one of size and shape of the effective plurality of openings through the antenna assembly effective to modify microwave energy passed through the antenna assembly to the substrate.
    Type: Grant
    Filed: June 7, 2006
    Date of Patent: December 16, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Guy T. Blalock, Trung Tri Doan
  • Patent number: 7452660
    Abstract: A method and apparatus is provided for using a plasma generated from a processing gas mixture including H2O to efficiently strip photoresist material without causing significant damage to exposed, underlying low k dielectric material. The method includes disposing the processing gas mixture including the H2O over the wafer. The processing gas mixture including the H2O is then transformed into a plasma. The plasma serves to remove the photoresist material from the substrate without adversely affecting the exposed low k dielectric material.
    Type: Grant
    Filed: August 11, 2004
    Date of Patent: November 18, 2008
    Assignee: Lam Research Corporation
    Inventors: Zhisong Huang, Reza Sadjadi
  • Patent number: 7393460
    Abstract: The plasma processing method comprises the step of removing an organic material film forming an upper layer relative to a patterned SiOCH series film by the processing with a plasma of a process gas containing an O2 gas, wherein the plasma has an O2+ ion density not lower than 1×1011 cm?3 and an oxygen radical density not higher than 1×1014 cm?3.
    Type: Grant
    Filed: March 14, 2006
    Date of Patent: July 1, 2008
    Assignee: Tokyo Electron Limited
    Inventors: Masaru Hori, Kazuhiro Kubota
  • Patent number: 7375947
    Abstract: In a plasma reactor having an electrostatic chuck, wafer voltage is determined from RF measurements at the bias input using previously determined constants based upon transmission line properties of the bias input, and this wafer voltage is used to accurately control the DC wafer clamping voltage.
    Type: Grant
    Filed: February 7, 2007
    Date of Patent: May 20, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Jang Gyoo Yang, Daniel J. Hoffman, Steven C. Shannon, Douglas H. Burns, Wonseok Lee, Kwang-Soo Kim
  • Patent number: 7374696
    Abstract: The invention provides for a method and integrated system for removing a halogen-containing residue from a substrate comprising etching the substrate, heating the substrate and exposing the heated substrate to a plasma that removes the halogen-containing residue.
    Type: Grant
    Filed: February 11, 2004
    Date of Patent: May 20, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Mark N. Kawaguchi, James S. Papanu, Scott Williams, Matthew Fenton Davis
  • Patent number: 7341922
    Abstract: When etching is performed with respect to a silicon-containing material by using a dry etching apparatus having a dual power source, the application of bias power is initiated before oxidization proceeds at a surface of the silicon-containing material. Specifically, the application of the bias power is initiated before the application of source power is initiated. Alternatively, the source power and the bias power are applied such that the effective value of the source power reaches a second predetermined value after the effective value of the bias power reaches a first predetermined value.
    Type: Grant
    Filed: July 18, 2006
    Date of Patent: March 11, 2008
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Takeshi Yamashita, Takao Yamaguchi, Hideo Niko
  • Publication number: 20080025899
    Abstract: A plasma surface treatment method for performing a surface treatment on a quartz member used under a plasma-exposed environment by using a plasma having an ion energy greater than about 5.3 eV. The plasma has, near a surface of the quartz member, an electron temperature higher than or equal to about 2 eV. Further, in a plasma processing apparatus for generating a plasma by introducing a microwave into a processing chamber through a planar antenna having a plurality of slots, the surface treatment is carried out for about 30-300 seconds by using a plasma of a processing gas containing Ar gas and N2 gas under conditions of a processing pressure lower than or equal to about 15 Pa and a microwave power higher than or equal to about 0.9 W/cm2, the surface treatment being repeated 25 to 2000 times.
    Type: Application
    Filed: July 27, 2007
    Publication date: January 31, 2008
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Tetsuro TAKAHASHI
  • Patent number: 7322368
    Abstract: A plasma cleaning gas for CVD chamber is a gas for cleaning silicon-containing deposits on the surface of a CVD chamber inner wall and the surfaces of members placed inside the CVD chamber after film forming treatment on a substrate by a plasma CVD apparatus. The cleaning gas includes 100% by volume of fluorine gas which gas can generate plasma by electric discharge. When 100% by volume of fluorine gas is plasma-generated by electric discharge and then used as a cleaning gas, an extremely excellent etching rate can be attained and further plasma can be stably generated even in the total gas flow rate of 1000 sccm and at a chamber pressure of 400 Pa. Further, the uniformity of cleaning can be also ensured in the above conditions. Additionally the fluorine gas concentration is 100% so that the apparatus is not complicated and thereby the cleaning gas has excellent practicability.
    Type: Grant
    Filed: August 26, 2002
    Date of Patent: January 29, 2008
    Inventors: Akira Sekiya, Yuki Mitsui, Yutaka Ohira, Taisuke Yonemura
  • Publication number: 20070227661
    Abstract: A microwave plasma processing apparatus 100 includes a processing chamber U, a plurality of dielectric parts 31 that allow microwaves to be transmitted into the processing chamber U, a beam 27 that supports the dielectric parts 31 and a fixing means for fixing the beam 27 to a processing container from outside the processing chamber U. The fixing means includes a plurality of screws 56 that are inserted at a plurality of through holes 21b present at the processing chamber U from the outside of the processing chamber U to interlock with the beam 27. Since the beam 27 is fixed to the processing chamber U via the plurality of screws 56 from the outside of the processing chamber U, better smoothness and flatness is achieved at the surface S of the beam 27 which comes in contact with plasma.
    Type: Application
    Filed: March 30, 2007
    Publication date: October 4, 2007
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Takahiro HORIGUCHI
  • Publication number: 20070221623
    Abstract: There is provided a plasma processing apparatus in which a microwave is propagated into a dielectric body disposed at a top surface of a process chamber through a plurality of slots formed in a bottom face of a rectangular waveguide to excite a predetermined gas supplied into the process chamber into plasma by electric field energy of an electromagnetic field formed on a surface of the dielectric body, to thereby generate plasma with which a substrate is processed, wherein a top face member of the rectangular waveguide is formed of a conductive, nonmagnetic material and is disposed so as to be movable up and down relative to the bottom face of the rectangular waveguide.
    Type: Application
    Filed: March 21, 2007
    Publication date: September 27, 2007
    Applicants: TOKYO ELECTRON LIMITED, Tohoku University
    Inventors: Takahiro HORIGUCHI, Masaki Hirayama, Tadahiro Ohmi
  • Patent number: 7259104
    Abstract: A surface processing method of a sample having a mask layer that does not contain carbon as a major component formed on a substance to be processed, the substance being a metal, semiconductor and insulator deposited on a silicon substrate, includes the steps of installing the sample on a sample board in a vacuum container, generating a plasma that consists of a mixture of halogen gas and adhesive gas inside the vacuum container, applying a radio frequency bias voltage having a frequency ranging from 200 kHz to 20 MHz on the sample board, and controlling a periodic on-off of the radio frequency bias voltage with an on-off control frequency ranging from 100 Hz to 10 kHz.
    Type: Grant
    Filed: September 29, 2003
    Date of Patent: August 21, 2007
    Assignee: Hitachi, Ltd.
    Inventors: Tetsuo Ono, Takafumi Tokunaga, Tadashi Umezawa, Motohiko Yoshigai, Tatsumi Mizutani, Tokuo Kure, Masayuki Kojima, Takashi Sato, Yasushi Goto
  • Patent number: 7232762
    Abstract: A method of forming contact openings in a semiconductor device including providing a semiconducting substrate; forming an etch stop layer on said semiconducting substrate; forming a dielectric layer on said etch stop layer; forming a bottom anti-reflective coating (BARC) on said dielectric layer; forming and patterning a mask on said BARC layer; and, forming at least a first contact opening exposing said etch stop layer by a first etching process.
    Type: Grant
    Filed: June 16, 2004
    Date of Patent: June 19, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chia-Der Chang, Yu-Ching Chang, Chien-Chih Chou, Yi-Tung Yen
  • Patent number: 7159536
    Abstract: Device for producing a plasma, in particular for treating surfaces, for chemically reacting gases, or for producing light, by way of microstructure electrode discharges, using a device for producing plasma having at least one guide structure. A microwave generator which can be used to launch microwaves into the guide structure. The guide structure has a locally narrowly limited plasma region in contact with a gas. The guide structure is preferably a metallic waveguide filled with a dielectric material, or an arrangement of strip lines which run on a dielectric plate. The device and the method are particularly suited for processing or activating surfaces or for depositing layers on a substrate.
    Type: Grant
    Filed: August 23, 2000
    Date of Patent: January 9, 2007
    Assignee: Robert Bosch GmbH
    Inventors: Stefan Grosse, Johannes Voigt
  • Patent number: 7111629
    Abstract: There is provided a surface cleaning apparatus and method using plasma to remove a native oxide layer, a chemical oxide layer, and a damaged portion from a silicon substrate surface, and contaminants from a metal surface. A mixture of H2 and N2 gas is used as a first processing gas. By absorbing potential in a grounded grid or baffle between a plasma generator and a substrate, only radicals are passed to the substrate, and HF gas is used as a second processing gas. Thus a native oxide layer, a chemical oxide layer, or a damaged portion formed on the silicon substrate during etching is removed in annealing step with H2 flow. The environment of a chamber is maintained constant by introducing a conditioning gas after each wafer process. Therefore, process repeatability is improved.
    Type: Grant
    Filed: May 20, 2004
    Date of Patent: September 26, 2006
    Assignee: APL Co., Ltd.
    Inventors: Jeong-Ho Kim, Gil-Gwang Lee
  • Patent number: 7097782
    Abstract: In certain implementations, methods and apparatus include an antenna assembly having at least two overlapping and movable surface microwave plasma antennas. The antennas have respective pluralities of microwave transmissive openings formed therethrough. At least some of the openings of the respective antennas overlap with at least some of the openings of another antenna, and form an effective plurality of microwave transmissive openings through the antenna assembly. Microwave energy is passed through the effective plurality of openings of the antenna assembly and to a flowing gas effective to form a surface microwave plasma onto a substrate received within the processing chamber. At least one of the antennas is moved relative to another of the antennas to change at least one of size and shape of the effective plurality of openings through the antenna assembly effective to modify microwave energy passed through the antenna assembly to the substrate.
    Type: Grant
    Filed: November 12, 2002
    Date of Patent: August 29, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Guy T. Blalock, Trung Tri Doan
  • Patent number: 7097779
    Abstract: A processing system and method for chemically treating a TERA layer on a substrate. The chemical treatment of the substrate chemically alters exposed surfaces on the substrate. In one embodiment, the system for processing a TERA layer includes a plasma-enhanced chemical vapor deposition (PECVD) system for depositing the TERA layer on the substrate, an etching system for creating features in the TERA layer, and a processing subsystem for reducing the size of the features in the TERA layer.
    Type: Grant
    Filed: July 6, 2004
    Date of Patent: August 29, 2006
    Assignee: Tokyo Electron Limited
    Inventors: Aelan Mosden, Asao Yamashita
  • Patent number: 7088047
    Abstract: An inductively coupled plasma generator having a lower aspect ratio reaction gas, comprising a chamber having a gas inlet through which a reaction gas is supplied, a vacuum pump for maintaining the inside of the chamber vacuum and a gas outlet for exhausting the reaction gas after completion of the reaction, a chuck for mounting a target material to be processed inside the chamber, and an antenna to which high-frequency power is applied, the antenna provided at the upper and lateral portions of the chamber, wherein the antenna has parallel antenna elements in which a discharge of a high frequency can be allowed and impedance is low to ensure a low electron temperature, the antenna is disposed such that a powered end of each of the antenna elements and a ground end of each of the antenna elements opposite to the powered end are symmetrical in view of the center of an imaginary circle formed by the antenna to establish rotation symmetry of plasma density profiles, the antenna elements are twisted in a helical m
    Type: Grant
    Filed: January 26, 2005
    Date of Patent: August 8, 2006
    Assignee: Plasmart Co. Ltd.
    Inventors: Yong-Kwan Lee, Won-Bong Jung, Sang-Won Lee, Sae-Hoon Uhm, Dong-Seok Lee
  • Patent number: 7048869
    Abstract: In an oxide film etching process, a plasma having a suitable ratio of CF3, CF2, CF, F is necessary, and there is a problem in that the etching characteristic fluctuates with a temperature fluctuation of the etching chamber. Using a UHF type ECR plasma etching apparatus having a low electron temperature, a suitable dissociation can be obtained, and by maintaining the temperature of a side wall from 10° C. and 120° C., a stable etching characteristic can be obtained. Since oxide film etching using a low electron temperature and a high density plasma can be obtained, an etching result having a superior characteristic can be obtained, and, also, since the side wall temperature adjustment range is low, a simplified apparatus structure and a heat resistant performance countermeasure can be obtained easily.
    Type: Grant
    Filed: October 8, 1999
    Date of Patent: May 23, 2006
    Assignee: Hitachi, Ltd.
    Inventors: Kazue Takahashi, Toshio Masuda, Tetsunori Kaji, Ken'etsu Yokogawa
  • Patent number: 7025895
    Abstract: A plasma processing apparatus and method are capable of performing etching with high precision without damaging the semiconductor wafer. The plasma processing apparatus has a plasma generation power supply for generating a plasma within a processing chamber; a high-frequency power supply for applying a high frequency wave to a sample stage installed within the processing chamber; and control means for controlling the plasma generation power supply or the high-frequency power supply based on parameter settings for an output intensity and an output mode for each process step. In this regard, when the process steps are switched, the control means compares parameters for a current process step with those for a next process step and then switches either the output intensities or the output modes before switching the output modes or the output intensities, respectively.
    Type: Grant
    Filed: August 15, 2002
    Date of Patent: April 11, 2006
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Youji Takahashi, Makoto Kashibe
  • Patent number: 7014788
    Abstract: A method for treating material surface utilizing atomic hydrogen. The method includes utilizing atomic hydrogen by mixing halogen and/or halide to a gas which is used for generating, atomic hydrogen in the plasma. The present method also includes utilizing a characteristics of plasma downstream (11) in which the objective surface is prevented from physical damage caused by high energy particle and undesirable reactive species are controlled so as to avoid their influence. In an alternative embodiment, the present invention includes a method for a material surface treatment utilizing atomic hydrogen without the influence of atomic oxygen by using a gas, as a plasma source, containing the molecule and/or compound of chlorine, bromine and/or iodine and not containing molecules with oxygen atom.
    Type: Grant
    Filed: June 9, 1999
    Date of Patent: March 21, 2006
    Assignee: Jim Mitzel
    Inventors: Shuzo Fujimura, Toshiyuki Takamatsu
  • Patent number: 7001698
    Abstract: A chromium-containing half-tone phase-shift photomask comprising coarse and dense patterns coexisting in a plane is prepared by a series of pattern-forming steps including forming a resist layer on a photomask blank, exposing and patterning said resist layer, developing, etching said photomask blank and removing said resist layer. Patterns for transferring onto a wafer are formed on the photomask blank by a dry-etching method comprising dry-etching a chromium-containing half-tone phase-shift film utilizing etching gas comprised of mixed gas including (a) reactive ion etching gas, containing an oxygen-containing gas and a halogen-containing gas, and (b) reducing gas added to the gas component (a).
    Type: Grant
    Filed: November 14, 2003
    Date of Patent: February 21, 2006
    Assignees: Ulvac Coating Corporation, Mitsubishi Denki Kabushiki Kaisha
    Inventors: Takaei Sasaki, Noriyuki Harashima, Satoshi Aoyama, Shouichi Sakamoto
  • Patent number: 6991739
    Abstract: A method of photoresist removal is described. A substrate is located in a processing chamber. A mixture of gases is excited, the mixture comprising a majority component of a reducing process gas and a minority component of between 0.1% and 10% by volume of an oxidizing process gas. Reactive gas species are thereby generated. A photoresist layer with an exposed dielectric layer on the substrate in the chamber is then exposed to the reactive gas mixture to selectively remove the photoresist layer from the dielectric layer.
    Type: Grant
    Filed: October 15, 2001
    Date of Patent: January 31, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Mark N. Kawaguchi, Huong T. Nguyen, Nikolaos Bekiaris, James S. Papanu
  • Patent number: 6981508
    Abstract: Provided herein is a method for cleaning a process chamber for semiconductor and/or flat panel display manufacturing. This method comprises the steps of converting a non-cleaning feed gas to a cleaning gas in a remote location and then delivering the cleaning gas to the process chamber for cleaning. Such method may further comprise the step of activating the cleaning gas outside the chamber before the delivery of the gas to the chamber. Also provided is a method of eliminating non-cleaning feed gas from the cleaning gas by cryo condensation.
    Type: Grant
    Filed: May 27, 2004
    Date of Patent: January 3, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Quanyuan Shang, Sanjay Yadav, William R. Harshbarger, Kam S. Law
  • Patent number: 6967170
    Abstract: The invention includes a method of patterning a material over a semiconductive substrate, comprising: a) forming a layer of first material against a second material and over the substrate, the substrate comprising a surface having a center and an edge; b) first etching the first material in a reaction chamber, the first etching comprising a first center-to-edge uniformity across the surface of the wafer and comprising a first selectivity for the first material relative to the second material; c) second etching the first material in the reaction chamber, the second etching comprising a second selectivity for the first material relative to the second material, the second center-to-edge uniformity being less than the first center-to-edge uniformity, the second selectivity being greater than the first selectivity; and d) cleaning a component of the first material from at least one sidewall of the reaction chamber between the first and second etchings.
    Type: Grant
    Filed: September 30, 2002
    Date of Patent: November 22, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Tuman Earl Allen, III
  • Patent number: 6905626
    Abstract: A method of preventing notching during a cyclical etching and deposition of a substrate with an inductively coupled plasma source is provided by the present invention. In accordance with the method, the inductively coupled plasma source is pulsed to prevent charge build up on the substrate. The off state of the inductively coupled plasma source is selected to be long enough that charge bleed off can occur, but not so long that reduced etch rates result due to a low duty cycle. The pulsing may be controlled such that it only occurs when the substrate is etched such that an insulating layer is exposed. A bias voltage may also be provided to the insulating layer and the bias voltage may be pulsed in phase or out of phase with the pulsing of the inductively coupled plasma source.
    Type: Grant
    Filed: June 19, 2003
    Date of Patent: June 14, 2005
    Assignee: Unaxis USA Inc.
    Inventors: Russell Westerman, David Johnson, Shouliang Lai
  • Patent number: 6860275
    Abstract: A three-step polymer removal process that reverses the conventional sequence in which polymer is removed. In the preferred embodiment of the present invention the polymer is first removed from the Gas Deposition Table, after this the polymer is stripped from the inner surface of the created contact hole.
    Type: Grant
    Filed: November 7, 2002
    Date of Patent: March 1, 2005
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Bao-Ru Young, Chia-Shiung Tsai
  • Patent number: 6852243
    Abstract: A confinement device for operative arrangement within a substrate etching chamber, having a lower surface of the device generally arranged over a substrate outer top surface such that a gap-spacing therebetween is generally equidistant. This spacing is less than a sheath thickness for the plasma, preferably less than ?rd of an inner width of an aperture through the lower surface of the device. The aperture, sized preferably greater than 3 times the sheath thickness, is in communication with a channel of the device in which an etchant gas can be confined for reaction to selectively etch a localized area in the substrate outer top surface generally below the aperture. A system for dry etching an IC wafer includes a substrate etching chamber and a confinement device. The etchant gas may be a plasma induced and sustained by RF energy, a microwave source, or other source, as designed.
    Type: Grant
    Filed: June 18, 2001
    Date of Patent: February 8, 2005
    Assignee: LSI Logic Corporation
    Inventors: Charles W. Jurgensen, Gregory A. Johnson, Kunal N. Taravade
  • Patent number: 6843258
    Abstract: Provided herein is a method for cleaning a process chamber for semiconductor and/or flat panel display manufacturing. This method comprises the steps of converting a non-cleaning feed gas to a cleaning gas in a remote location and then delivering the cleaning gas to the process chamber for cleaning. Such method may further comprise the step of activating the cleaning gas outside the chamber before the delivery of the gas to the chamber. Also provided is a method of eliminating non-cleaning feed gas from the cleaning gas by cryo condensation.
    Type: Grant
    Filed: December 19, 2000
    Date of Patent: January 18, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Quanyuan Shang, Sanjay Yadav, William R. Harshbarger, Kam S. Law
  • Patent number: RE39895
    Abstract: To realize etching with a high selection ratio and a high accuracy in fabrication of an LSI, the composition of dissociated species of a reaction gas is accurately controlled when dry-etching a thin film on a semiconductor substrate by causing an inert gas excited to a metastable state in a plasma and a flon gas to interact with each other and selectively obtaining desired dissociated species.
    Type: Grant
    Filed: March 8, 2002
    Date of Patent: October 23, 2007
    Assignee: Renesas Technology Corp.
    Inventors: Takafumi Tokunaga, Sadayuki Okudaira, Tatsumi Mizutani, Kazutami Tago, Hideyuki Kazumi, Ken Yoshioka