Substrate Contains Elemental Metal, Alloy Thereof, Or Metal Compound Patents (Class 216/75)
  • Patent number: 5998299
    Abstract: Protection structures for suppressing plasma damage. Plasma damage is shown to occur primarily during a metal clear portion of a metal etch as opposed to also occurring during the overetch portion of the etch. The protection structures (202) provide a temporary connection between the metal layer (210) being etched and the substrate or a protection device during the clear portion of the etch. This temporary connection (202) is removed as the metal (210) is cleared.
    Type: Grant
    Filed: December 9, 1997
    Date of Patent: December 7, 1999
    Assignee: Texas Instruments Incorporated
    Inventor: Srikanth Krishnan
  • Patent number: 5976394
    Abstract: A method for dry etching a metallic thin film (i.e., platinum thin film) is disclosed whereby a clean metallic thin film can be formed by restraining redeposition of the metal. The etching gas includes a mixed gas including Cl.sub.2 and SiCl.sub.4 whereby a plasma of the mixed gas generates reactive species to react with the metallic thin film and form volatile residua that can be desorbed from the etched surface.
    Type: Grant
    Filed: April 17, 1997
    Date of Patent: November 2, 1999
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Chee-won Chung
  • Patent number: 5972235
    Abstract: Provided is a method of etching an etch layer using a polycarbonate layer as a mask. The method includes placing an etch structure in a reaction chamber, the etch structure including an etch layer underlying a polycarbonate layer, the polycarbonate layer having apertures. The etch layer is then etched using a low pressure-high density plasma generate at a pressure in the range of approximately 1 to 30 millitorr where the ionized particle concentration is at least 10.sup.11 ions/cm.sup.3 and where the ionized particle concentration is substantially equal throughout the volume of the reaction chamber. To increase the etch rate, the etch structure can be heated or biased. To decrease the etch rate, an inert gas can be added to the process gas mixture used to form the plasma.
    Type: Grant
    Filed: February 28, 1997
    Date of Patent: October 26, 1999
    Assignee: Candescent Technologies Corporation
    Inventors: Kristin Brigham, Chungdee Pong
  • Patent number: 5958800
    Abstract: A method of removing a planarized insulating layer from over an alignment mark on a wafer. The invention allows steppers to see alignment marks without the difficulty of viewing the alignment marks through the insulating layer overlying the alignment marks. The method begins by chemical mechanical polishing a conformal oxide layer over a substrate. Next, a first photoresist layer is formed over the conformal oxide layer. Then vias are etched in the conformal oxide layer in the device area and etch the conformal oxide layer in the alignment mark area. Subsequently, we form a second photoresist layer over the first photoresist layer and the conformal oxide layer. The second photoresist layer filling the vias, but not the alignment mark resist opening. Then etch the second photoresist layer leaving sidewall spacers on the sidewall of the first photoresist layer in the alignment mark area and leaving photoresist plugs filling the vias.
    Type: Grant
    Filed: March 31, 1999
    Date of Patent: September 28, 1999
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Hua Yu, Syun-Ming Jang
  • Patent number: 5928963
    Abstract: A contact hole is formed in an SiO.sub.2 film on a silicon wafer by a plasma etching, using a photoresist as a mask. A process gas is a mixture of an etching gas of HFPO and a carrier gas of Ar at a volume ratio of from 1:17.5 to 1:20. The process gas is fed into a process chamber which houses the silicon wafer and is set at a pressure of from 10 mTorr to 100 mTorr. The process gas is turned into plasma by electric discharge, and the SiO.sub.2 film is subjected to etching with the plasma. During the etching, the target surface of the wafer is kept at a temperature of from 50.degree. C. to 100.degree. C.
    Type: Grant
    Filed: October 24, 1996
    Date of Patent: July 27, 1999
    Assignee: Tokyo Electron Limited
    Inventor: Akira Koshiishi
  • Patent number: 5900162
    Abstract: The present invention relates to a plasma etching method and apparatus, and more particularly to a plasma etching method and apparatus which are well suited for etching the samples of semiconductor device substrates, etc. In cooling a sample to a temperature not higher than 0.degree. C. which is a minimum temperature of water and subjecting the sample to an etching process with a gas plasma, an acceleration voltage which accelerates ions in the gas plasma toward the sample is repeatedly changed, whereby in a process based on low-temperature etching, an etching process producing no residue, being anisotropic and being highly selective is realized.
    Type: Grant
    Filed: July 26, 1991
    Date of Patent: May 4, 1999
    Assignee: Hitachi, Ltd.
    Inventors: Hironobu Kawahara, Yoshinao Kawasaki, Yoshiaki Sato, Ryooji Fukuyama
  • Patent number: 5900163
    Abstract: A method for etching a layer of a microelectronic structure includes the steps of masking the layer to be etched so that predetermined portions of the layer are exposed, and providing an etching gas. An additional gas is also provided wherein the additional gas generates a compound having a carbene structure when exposed to a plasma discharge. A plasma of the etching gas and the additional gas is generated to thereby etch the exposed portions of the layer and to form the compound having a carbene structure. A polymer can thus be formed from the compound having the carbene structure on the sidewalls of the etched portions of the layer. Accordingly, the profile of the etched layer can be improved.
    Type: Grant
    Filed: January 16, 1997
    Date of Patent: May 4, 1999
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Whi-kun Yi, Dai-sik Moon, Sung-kyeong Kim, Kyung-hoon Kim, Gyu-hwan Kwag
  • Patent number: 5891351
    Abstract: A method for forming a pattern on a surface of a steel substrate includes the formation of a patterned mask on the surface of the steel substrate. The entire surface having the patterned mask is etched by reactive ion etching such the a pattern is formed on the surface of the steel substrate. The reactive ion etching is brought about by a plasma which is produced in an atmosphere of a chlorine-containing compound, under pressure of 1-100 mTorr, and with a radio frequency power of 100-600 W.
    Type: Grant
    Filed: August 13, 1997
    Date of Patent: April 6, 1999
    Assignee: National Science Council
    Inventors: Chih-Kung Lee, Hong-Zong Liao, Shey-Shi Lu, Nien-Show Ho
  • Patent number: 5874011
    Abstract: Techniques and apparatus for the laser induced etching of a reactive material, or of a multilayer substrate or wafer comprising layers of materials of different etching characteristics and reactivities, are disclosed. Short wavelength laser radiation and control of the process ambient equalize etch rates of the layers of a multilayer substrate or wafer and allow high-resolution etching. A suppressant gas introduced into a halogen-containing ambient suppresses explosive reactions between the ambient and reactive materials or layers. For less reactive layers or materials, reduced-pressure air is a suitable ambient. The techniques and apparatus disclosed herein are particularly useful in the manufacture of magnetic data transfer heads.
    Type: Grant
    Filed: August 1, 1996
    Date of Patent: February 23, 1999
    Assignee: Revise, Inc.
    Inventor: Daniel Ehrlich
  • Patent number: 5869400
    Abstract: The present invention provides a method for dry-etching a solid surface with a gaseous bismuth halide compound, which permits achivement of a simple and perfect dry-process for manufacturing of electoric devices, quantum devices etc., giving a high reproducibility.
    Type: Grant
    Filed: May 30, 1996
    Date of Patent: February 9, 1999
    Assignee: Research Development Corporation of Japan
    Inventors: Tadaaki Kaneko, Takaaki Kawamura
  • Patent number: 5866483
    Abstract: A method for etching a tungsten containing layer 25 on a substrate 10 substantially anisotropically, with good etching selectivity, and without forming excessive passivating deposits on the etched features. In the method, the substrate 10 is placed in a plasma zone 55, and process gas comprising SF.sub.6, CHF.sub.3, and N.sub.2, is introduced into the plasma zone. A plasma is formed from the process gas to anisotropically etch the tungsten containing layer 22. Preferably, the plasma is formed using combined inductive and capacitive plasma operated at a predefined inductive:capacitive power ratio.
    Type: Grant
    Filed: April 4, 1997
    Date of Patent: February 2, 1999
    Assignee: Applied Materials, Inc.
    Inventors: Guang-Jye Shiau, Paul Herz, Xian-Can Deng, Xiaobing Diana Ma
  • Patent number: 5855689
    Abstract: Disclosed is a method for etching the inside of a tungsten CVD reaction room, in which tungsten deposited on the inside of the reaction room by a film-forming gas when a tungsten CVD reaction is conducted is removed by plasma, and which has the steps of: exhausting a remainder of the film-forming gas in the reaction room; and then supplying a high-frequency electric power into the reaction room while keeping a predetermined degree of vacuum in the reaction room and leading a mixing gas of sulfur hexafluoride and oxygen into the reaction room to generate the plasma.
    Type: Grant
    Filed: February 21, 1997
    Date of Patent: January 5, 1999
    Assignee: NEC Corporation
    Inventor: Takao Akiyama
  • Patent number: 5853602
    Abstract: A refractory metal layer on a silicon oxide layer is exposed to gaseous etchant containing SF.sub.6, Cl.sub.2 and CO so as to be patterned; F radical and Cl radical effectively etch the refractory metal, and a reaction product of CO gas does not allow the dry etching to sidewardly proceed so that the dry etching achieves good anisotropy, a large etching rate and a large selectivity to silicon oxide.
    Type: Grant
    Filed: February 13, 1997
    Date of Patent: December 29, 1998
    Assignee: NEC Corporation
    Inventor: Hideyuki Shoji
  • Patent number: 5849207
    Abstract: A method for the plasma etching of a ferrodielectric perovskite oxide thin film such as PZT which comprises providing a resist pattern from on a perovskite oxide thin film as an etching mask, and subjecting the thin film to plasma etching using an etching gas which includes a compound having at least carboxyl group in the molecule, so that the carbonyl group formed by dissociation of the compound having at least carboxyl group in the molecule reacts with constituent metals of the perovskite oxide to efficiently form a reaction product in the form of a metal complex, enabling one to effect plasma etching at a practical etching rate while ensuring good anisotropic processing.
    Type: Grant
    Filed: July 1, 1996
    Date of Patent: December 15, 1998
    Assignee: Sony Corporation
    Inventor: Junichi Sato
  • Patent number: 5846441
    Abstract: A method for forming a patterned metallic layer on a substrate is employed to form a first layer on top surface of the substrate. A patterned mask layer is then deposited on top of the first layer, thereby exposing selected portions of the first layer. Thereafter, a second and a third layers are successively formed on top of the selected portions of the first layer, and the patterned mask layer is removed. Subsequently, the first layer is removed except the portions thereof intervening between the top surface of the substrate and the second layer by using a dry etching method to thereby form the patterned metallic layer including the second layer and the exposed portions of the first layer.
    Type: Grant
    Filed: July 24, 1996
    Date of Patent: December 8, 1998
    Assignee: Daewoo Electronics Co., Ltd.
    Inventor: Jae-Woo Roh
  • Patent number: 5846443
    Abstract: A method in a plasma processing chamber, for etching through a selected portion of an aluminum-containing layer and a titanium-containing layer. The titanium-containing layer is disposed above the aluminum-containing layer. The method includes a first etching step that etches at least partially through the titanium-containing layer using a first source gas composition. The first source gas composition consists essentially of the Cl.sub.2 etchant and a first mixture. The first mixture consists essentially of HCl and CHF.sub.3. The first source gas composition has a first flow ratio of the Cl.sub.2 etchant to the first mixture. There is further included a second etching step that etches at least partially through the aluminum-containing layer using a second source gas composition. The second source gas composition consists essentially of a Cl.sub.2 etchant and a second mixture. The second mixture consists essentially of HCl and CHF.sub.3. The second source gas composition has a second flow ratio of the Cl.sub.
    Type: Grant
    Filed: July 9, 1996
    Date of Patent: December 8, 1998
    Assignee: Lam Research Corporation
    Inventor: Susan C. Abraham
  • Patent number: 5843289
    Abstract: A method of obtaining a porous titanium surface suitable for medical implants is provided. The titanium surface is exposed to a plasma comprising a reactive plasma gas, the reactive plasma gas comprising an active etching species and a sputtering gas. The plasma conditions are effective to modify the titanium surface and provide surface porosity. The plasma conditions are effective to non-uniformly etch and sputter the titanium surface.
    Type: Grant
    Filed: January 22, 1996
    Date of Patent: December 1, 1998
    Assignee: Etex Corporation
    Inventors: Dosuk D. Lee, Atul Nagras
  • Patent number: 5824234
    Abstract: The present invention provides a method for forming a bonding pad having a low contact resistance. The method includes steps of: a) forming a bonding pad structure on a substrate having a metal layer by forming a passivation layer over said metal layer and etching the passivation layer with a fluorine-containing gas by which a fluorine-containing layer is formed on a surface of said bonding pad structure; and b) removing the fluorine-containing layer for reducing a contact resistance of said bonding pad structure.
    Type: Grant
    Filed: October 2, 1996
    Date of Patent: October 20, 1998
    Assignee: Mosel Vitelic, Inc.
    Inventors: Chon-Shin Jou, Ting-Sing Wang, Chun-Lin Chen, Ming-Huan Tsai, Ming-Ru Tsai
  • Patent number: 5814237
    Abstract: A method for forming a deflection grating is disclosed, includes the steps of: sequentially forming a dielectric layer and photoresist layer on a compound semiconductor substrate, and patterning the photoresist layer through optical holography to expose the dielectric layer; removing a portion of the dielectric layer on which the patterned photoresist layer is not formed, through RIE using the patterned photoresist layer as a mask; anisotropically etching a portion of the semiconductor substrate on which the dielectric layer is not formed, through RIE using the dielectric layer as a mask, to form a deflection grating; and removing the dielectric layer.
    Type: Grant
    Filed: September 26, 1996
    Date of Patent: September 29, 1998
    Assignees: Electronics and Telecommunications Research Institute, Korea Telecommunication Authority
    Inventor: Chong-Dae Park
  • Patent number: 5814238
    Abstract: A method for dry etching of transition metals. The method for dry etching of a transition metal (or a transition metal alloy such as a silicide) on a substrate comprises providing at least one nitrogen- or phosphorous-containing .pi.-acceptor ligand in proximity to the transition metal, and etching the transition metal to form a volatile transition metal/.pi.-acceptor ligand complex. The dry etching may be performed in a plasma etching system such as a reactive ion etching (RIE) system, a downstream plasma etching system (i.e. a plasma afterglow), a chemically-assisted ion beam etching (CAIBE) system or the like. The dry etching may also be performed by generating the .pi.-acceptor ligands directly from a ligand source gas (e.g. nitrosyl ligands generated from nitric oxide), or from contact with energized particles such as photons, electrons, ions, atoms, or molecules.
    Type: Grant
    Filed: October 12, 1995
    Date of Patent: September 29, 1998
    Assignee: Sandia Corporation
    Inventors: Carol I. H. Ashby, Albert G. Baca, Peter Esherick, John E. Parmeter, Dennis J. Rieger, Randy J. Shul
  • Patent number: 5804314
    Abstract: A flexible and efficient bulk micromachining method for fabricating a novel microstructure that is bounded by substantially planar surfaces meeting only at substantially right angle corner features. The novel microstructure of the present invention is useful as a spacer in assembly processes where high accuracy is required, such as precise positioning of optical fibers or conductors. In the preferred embodiment, the microstructure of the present invention includes a shelf feature disposed along a height dimension of the microstructure, which is required for some applications. The bulk micromachining method of the present invention includes providing a first substrate having a top planar surface and an opposing planar surface. The opposing surface of the substrate is anisotropically etched to provide a first thinned region. The top surface of the first substrate is anisotropically etched so that a first recessed feature having a vertical side is made integral with the first thinned region.
    Type: Grant
    Filed: March 22, 1994
    Date of Patent: September 8, 1998
    Assignee: Hewlett-Packard Company
    Inventors: Leslie A. Field, Phillip W. Barth
  • Patent number: 5792374
    Abstract: A method of fabricating a color image sensor includes the steps of: (a) providing a gray scale image sensor with an array of photoelectric converting cells and a plurality of bonding pads on a substrate; (b) applying a first transparent layer on surface of the gray scale image sensor; (c) successively forming first, second and third color filter patterns on the first transparent layer, the first color filter pattern being disposed directly above a first group of the photoelectric converting cells, the second color filter pattern being disposed directly above a second group of the photoelectric converting cells, the third color filter pattern being disposed directly above a third group of the photoelectric converting cells; (d) coating a second transparent layer on the color filter patterns and on portions of the first transparent layer not covered by the color filter patterns; (e) depositing a metal layer on the second transparent layer; (f) patterning and etching the metal layer so as to remove portions of t
    Type: Grant
    Filed: May 15, 1996
    Date of Patent: August 11, 1998
    Assignee: Hualon Microelectronics Corporation
    Inventors: Thomas Yang, Liang-Chung Wu, Shang-Tarng Jan
  • Patent number: 5783036
    Abstract: A method is for dry etching metal films having a high melting point, such as tungsten (W) films. A SiO.sub.2 film is grown on a silicon substrate after which a W film is deposited using sputtering technology. Then, a photoresist film is deposited and is patterned by optical lithography. A semiconductor substrate thus formed is placed in a dry etching system of a narrow gap type with an anode coupled configuration and is etched using a gas mixture composed of SF.sub.6, Cl.sub.2 and O.sub.2 under conditions such that the flow rate ratio of the gases SF.sub.6 /Cl.sub.2 /O.sub.2 are 20.0:0.5.about.1.5:3.about.7. With this, it is possible to achieve excellent selective etching of the W film with respect to the SiO.sub.2 film that is used as an underlying film.
    Type: Grant
    Filed: April 10, 1996
    Date of Patent: July 21, 1998
    Assignee: NEC Corporation
    Inventor: Hideyuki Shoji
  • Patent number: 5783101
    Abstract: The plasma source power frequency in a plasma etch reactor is reduced to a low RF frequency such as about 2 MHz. It is a discovery of this invention that at this low frequency, capacitive coupling from the plasma power source is reduced, and the plasma source power level may be increased beyond 750 Watts to reduce capacitive coupling and provide a high density inductively coupled plasma without appreciably increasing the ion bombardment energy. Moreover, under these conditions the etchant (e.g., chlorine) concentration in the plasma may be increased to about 80 percent without decreasing etch uniformity to provide a very high metal alloy etch rate with complete residue removal, no profile microloading, and no etch rate microloading, the process being applicable over a wide window of metal alloy compositions.
    Type: Grant
    Filed: September 16, 1994
    Date of Patent: July 21, 1998
    Assignee: Applied Materials, Inc.
    Inventors: Diana Xiaobing Ma, Gerald Zheyao Yin, Hiroji Hanawa
  • Patent number: 5771569
    Abstract: A magnetic head suspension, wherein the interconnection wiring is integrated with a spring-like metal suspension member, is manufactured by first preparing a laminated plate comprised of a flexible insulating base sandwiched between a resilient metal layer and a layer of an electrical conductor. The electrical conductor layer and resilient metal layer are respectively formed into the desired circuit wiring pattern and a suspension member, unnecessary portions of the insulating base are removed, the wiring pattern is provided with a protective layer and the suspension member is mechanically formed into a desired final configuration.
    Type: Grant
    Filed: December 27, 1996
    Date of Patent: June 30, 1998
    Assignee: Nippon Mektron, Ltd.
    Inventor: Masaichi Inaba
  • Patent number: 5770721
    Abstract: This method for preparing micromatrices consists in applying a specially-patterned intermediate layer of laser-absorbing substance on a solid support. The configuration of the sublayer fully corresponds to the topology of the manufactured matrix. The intermediate layer is further covered by a continuous layer of gel , the gel and the material of the support being transparent towards laser radiation. The gel layer is irradiated by a laser beam for a time needed to evaporate simultaneously the gel in the places immediately above the laser-absorbing sublayer and the sublayer itself. Oligonucleotides from a chosen set are then attached to the formed gel `cells`, one oligonucleotide to each cell.This method is intended for use in biotechnology, specifically for deciphering the nucleotide sequence of DNA.
    Type: Grant
    Filed: May 19, 1995
    Date of Patent: June 23, 1998
    Assignee: University of Chicago
    Inventors: Gennady Moiseevich Ershov, Andrei Darievich Mirzabekov
  • Patent number: 5764416
    Abstract: A narrow-band antireflective coating is comprised of a multilayer dielectric film formed on a dielectric substrate. The antireflective coating is initially formed so that its outer layer has a thickness greater than the thickness required for antireflection. The reflectivity is monitored while outer layer is reduced in thickness to reduce the thickness of the outer layer to reduce the reflectivity.
    Type: Grant
    Filed: January 11, 1996
    Date of Patent: June 9, 1998
    Assignee: Litton Systems, Inc.
    Inventor: John P. Rahn
  • Patent number: 5759416
    Abstract: A method of selectively removing a metallic layer (3) from a non-metallic substrate (1) includes the steps of arranging for the metallic layer (3) to be overlaid by a coating (7) of Sn-rich metallic material, and directing a laser beam of wavelength .lambda. onto a selected area (5') of the coating (7), .lambda. being chosen to lie in the range 450-650 nm, thereby causing localized heating of the coating (7) in this area (5') and consequent ablative removal of the underlying portion of the metallic layer (3). The method is particularly useful in the manufacture of printed circuit boards.
    Type: Grant
    Filed: November 22, 1996
    Date of Patent: June 2, 1998
    Assignee: U.S. Philips Corporation
    Inventors: Johan Bosman, Johannes P. C. Van Dooren
  • Patent number: 5753567
    Abstract: A process for removing metallic contaminants from the surface of chunk or granular polycrystalline silicon is disclosed. The metallic contaminants are exposed to a gas-phase halogen etchant which reacts with the metallic contaminants to form metal halides. The gas-phase halogen etchant is preferably a halogen plasma. The metal halides are volatilized into an atmosphere adjacent to the surface of the polycrystalline silicon, and purged therefrom. The cleaned polycrystalline silicon is used to prepare a mass of molten silicon for use in producing a single crystal silicon ingot by the Czochralski method.
    Type: Grant
    Filed: August 28, 1995
    Date of Patent: May 19, 1998
    Assignee: MEMC Electronic Materials, Inc.
    Inventors: Mohsen Banan, Richard L. Hansen
  • Patent number: 5725787
    Abstract: A light-emitting structure (306) contains a main section (302), a pattern of ridges (314) situated along the main section, and a plurality of light-emissive regions (313) situated in spaces between the ridges. The light-emissive regions produce light of various colors upon being hit by electrons. The ridges, which extend further away from the main section than the light-emissive regions, are substantially non-emissive of light when hit by electrons. Each ridge includes a dark region. The ridges thereby form a raised black matrix that improves contrast and color purity. When the light-emitting structure is used in an optical display, the raised black matrix contacts internal supports (308) and, in so doing, protects the light-emissive regions from being damaged. The light-emitting structure can be formed according to various techniques of the invention.
    Type: Grant
    Filed: May 25, 1995
    Date of Patent: March 10, 1998
    Assignee: Candescent Technologies Corporation
    Inventors: Christopher J. Curtin, Ronald S. Nowicki, Theodore S. Fahlen, Robert M. Duboc, Jr., Paul A. Lovoi
  • Patent number: 5705443
    Abstract: A plasma-assisted dry etching process for etching of a metal containing material layer on a substrate to remove the metal containing material from the substrate, comprising (i) plasma etching the metal containing material and, (ii) contemporaneously with said plasma etching, contacting the metal containing material with an etch enhancing reactant in a sufficient amount and at a sufficient rate to enhance the etching removal of the metal containing material, in relation to a corresponding plasma etching of the metal containing material layer on the substrate in the absence of the etch enhancing reactant metal material being contacted with the etch enhancing reactant.
    Type: Grant
    Filed: May 30, 1995
    Date of Patent: January 6, 1998
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Gregory Stauf, Robin A. Gardiner, Peter S. Kirlin, Peter C. Van Buskirk
  • Patent number: 5702620
    Abstract: A resist film consisting of 5,11,17,23,29,35-hexachloromethyl-37, 38,39,40,41,42-hexamethoxycalix?6!arene sensitive to a high-energy beam and soluble to a solvent is formed on a substrate etchable by a dry etching, has a selective region thereof exposed to the high-energy beam, with a remaining region unexposed thereto, and developed to define a pattern on the substrate, as the remaining region is removed by the solvent, before the substrate with the pattern is subjected to the dry etching. A nanometric patterning and etching is permitted, with a reduced process time.
    Type: Grant
    Filed: August 13, 1996
    Date of Patent: December 30, 1997
    Assignee: NEC Corporation
    Inventors: Yoshitake Ohnishi, Jun-Ichi Fujita, Arturo Arduini, Alessandro Casnati, Andrea Pochini, Rocco Ungaro
  • Patent number: 5698113
    Abstract: Mo/Si multilayers are removed from superpolished ZERODUR and fused silica substrates with a dry etching process that, under suitable processing conditions, produces negligible change in either the substrate surface figure or surface roughness. The two step dry etching process removes SiO.sub.2 overlayer with a fluroine-containing gas and then moves molybdenum and silicon multilayers with a chlorine-containing gas. Full recovery of the initial normal incidence extreme ultra-violet (EUV) reflectance response has been demonstrated on reprocessed substrates.
    Type: Grant
    Filed: February 22, 1996
    Date of Patent: December 16, 1997
    Assignee: The Regents of the University of California
    Inventors: Sherry L. Baker, Stephen P. Vernon, Daniel G. Stearns
  • Patent number: 5695656
    Abstract: A magnetic thin-film head fabrication method which improves the yield of the thin-film head by reducing the deviation of line widths for various regions of a pole layer includes the steps of forming an upper pole layer on a to-be-etched magnet-forming layer formed on a lower pole layer, forming a metallic seed layer on the upper pole layer, forming an insulating layer having an aperture corresponding to a desired pattern on the seed layer, forming a metal mask layer on the exposed plane of the seed layer by a plating method, removing the insulating layer and etching the exposed portion of the magnet-forming layer which is not covered by the metal mask layer to a predetermined depth. Since the pattern of the metal mask layer can be changed easily and precisely by the shape of the photoresist layer, the size deviation of the processed products due to processing error for each product is reduced, thereby maximizing the reproducibility of the upper pole layer.
    Type: Grant
    Filed: December 14, 1995
    Date of Patent: December 9, 1997
    Assignee: Samsung Electro-Mechanics Co., Ltd.
    Inventors: Deok-yeong Park, Kuk-hyun Sunwoo
  • Patent number: 5681486
    Abstract: The invention provides a method of removing surface scale from a titanium or titanium alloy substrate. The method includes the steps of heating the substrate to a temperature in the range from about 100.degree. C. to about 600.degree. C., and thereafter subjecting the heated surface to a plasma formed from a gas selected from the group of consisting of CF.sub.4 and SF.sub.6. The plasma reacts with the surface scale, removing the scale, without attacking the underlying crystalline titanium or titanium alloy. Properly controlled, the plasma reaction terminates when the plasma has penetrated the scale and encounters the underlying crystalline metal. As a result, the method of the invention is capable of uniform removal of the entire surface scale of a crystalline titanium-containing substrate, without intergranular attack of the substrate.
    Type: Grant
    Filed: February 23, 1996
    Date of Patent: October 28, 1997
    Assignee: The Boeing Company
    Inventors: Herbert S. Goode, Jr., Jean A. Nielsen, Larry E. Nitzsche
  • Patent number: 5662772
    Abstract: In a method for the selective removal of SiO.sub.2 relative to semiconductor materials and/or metal, a specimen to be processed and containing SiO.sub.2 is placed into a chamber having at least one gas admission opening and one gas outlet opening. Using controllable valves at the gas admission opening, dosed quantities of hydrogen fluoride gas and water vapor are admitted into the chamber. These gasses proceed to the SiO.sub.2 in a specimen in a quantity adequate for etching. However, the quantities of these gasses are limited such that a condensation of the water vapor to form liquid water on the specimen during the etching event is avoided. An etching event is then implemented. Water vapor that arises as a reaction product during the etching is eliminated through the gas outlet opening before the occurrence of condensation and, simultaneously, an inert gas is admitted into the chamber through the gas admission opening. These steps are repeated as needed.
    Type: Grant
    Filed: April 24, 1996
    Date of Patent: September 2, 1997
    Assignee: Siemens Aktiengesellschaft
    Inventors: Thomas Scheiter, Ulrich Naeher, Christofer Hierold
  • Patent number: 5635081
    Abstract: In a fabrication method of a field-emission cold cathode, a conductive material for an emitter is first deposited on a Si substrate and then dry etched to form a conical emitter. An insulating layer and a gate electrode are deposited in such a manner as to cover over the emitters, and the surfaces of the emitters are flattened with a resist. Then, the insulating layer and the gate electrode are opened by etching back to expose the end of the conical emitter. Ta can be used as the conductive material to be deposited on the Si substrate. Meanwhile, the insulating layer to be deposited on the emitter can be formed by anodic oxidation. Further, where the height of the surface of the gate electrode from the surface of the Si substrate is set equal to the height of the emitter, detection of the end point at the later etching back step is facilitated.
    Type: Grant
    Filed: July 11, 1995
    Date of Patent: June 3, 1997
    Assignee: NEC Corporation
    Inventor: Takuya Yoshihara
  • Patent number: 5626775
    Abstract: The present invention is directed to the etching of a material selected from the group consisting of silicon dioxide, silicon nitride, boronphosphorus silicate glass, fluorosilicate glass, siliconoxynitride, tungsten, tungsten silicide and mixtures thereof under plasma etch conditions, particularly for cleaning operations to remove silicon dioxide or silicon nitride from the walls and other surfaces within a reaction chamber of a plasma-enhanced chemical vapor deposition reactor. The etching chemicals used in the etch process are trifluoroacetic acid and it derivatives, such as; trifluoroacetic anhydride, trifluoromethyl ester of trifluoroacetic acid and trifluoroacetic acid amide and mixtures thereof.
    Type: Grant
    Filed: May 13, 1996
    Date of Patent: May 6, 1997
    Assignee: Air Products and Chemicals, Inc.
    Inventors: David A. Roberts, Raymond N. Vrtis, Arthur K. Hochberg, Robert G. Bryant, John G. Langan
  • Patent number: 5624583
    Abstract: A method of manufacturing a semiconductor device containing a ruthenium oxide includes the step of dry-etching the ruthenium oxide using a gas mixture containing oxygen or ozone gas and at least one material selected from the group consisting of fluorine gas, chlorine gas, bromine gas, iodine gas, a halogen gas containing at least one of the fluorine, chlorine, bromine, and iodine gases, and a hydrogen halide.
    Type: Grant
    Filed: September 6, 1995
    Date of Patent: April 29, 1997
    Assignee: NEC Corporation
    Inventors: Ken Tokashiki, Kiyoyuki Sato
  • Patent number: 5620615
    Abstract: The present invention teaches a method for etching or removing a tungsten (W) film and a tungsten silicide (WSi.sub.x) film during a semiconductor fabrication process, by the steps of: removing any exposed portions of the W or WSi.sub.x film by presenting an etchant chemistry comprising NF.sub.3 and HeO.sub.2 to these exposed portions at a temperature ranging from -20.degree. C. to 100.degree. C. The etchant chemistry is also effective for dry cleaning a deposition chamber by removing previously deposited films of W or WSi.sub.x.
    Type: Grant
    Filed: November 30, 1995
    Date of Patent: April 15, 1997
    Assignee: Micron Technology, Inc.
    Inventor: David J. Keller
  • Patent number: 5609775
    Abstract: A method for dry etching a composite metal film, consisting of an aluminum overlay film, a titanium--tungsten film, and a titanium underlay film, is described. The process uses an organic photoresist as a mask and features improved etch selectivity and non-tapered sidewalls. The addition of CF.sub.4, to the etching chemistry used to pattern titanium--tungsten films, increases the selectivity between the photoresist and titanium--tungsten, allowing for thinner resists to be used, and thus finer resolution to be achieved. The introduction of N2 to the etching chemistry results in a N.sub.2 containing polymer to be formed during the etching procedure, on the sidewalls of the etched structure. The polymer prevents the isotropic component of the reactive ion etching process to attack the metal structure, thus allowing for non-tapered structures to be obtained.
    Type: Grant
    Filed: March 17, 1995
    Date of Patent: March 11, 1997
    Assignee: Chartered Semiconductor Manufacturing Pte Ltd.
    Inventor: Lianjun Liu
  • Patent number: 5607599
    Abstract: Disclosed is a method of processing a magnetic thin film, comprising the steps of disposing a mask having a predetermined pattern on a magnetic thin film consisting of a magnetic material containing at least one element selected from the group consisting of Fe, Co and Ni, supplying a reactive gas containing activated BCl.sub.3 to an exposed portion of said magnetic thin film and allowing said reactive gas to react with said magnetic material, and removing the magnetic thin film of the exposed portion to perform a desired patterning.
    Type: Grant
    Filed: November 16, 1995
    Date of Patent: March 4, 1997
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Katsutaro Ichihara, Michiko Okubo, Keiji Horioka
  • Patent number: 5601948
    Abstract: A porous carbon black or manganese dioxide cathode, or a lithium, magnesi calcium, zinc, or cadmium anode, is treated under vacuum with a gas plasma. The treated electrode is suitable for inclusion in a nonaqueous electrolyte cell and improves cell performance.
    Type: Grant
    Filed: April 11, 1995
    Date of Patent: February 11, 1997
    Assignee: The United States of America as represented by the Secretary of the Army
    Inventors: Michael Binder, Robert J. Mammone, William L. Wade, Jr.
  • Patent number: 5597496
    Abstract: A method of manufacturing a magnetic head suspension, wherein a wiring member for connecting a magnetic head element with a read/write amplifier circuit board is integrally formed with the suspension, which includes the step of: (1) forming a laminated plate having a flexible insulating base material which has an electrically conductive layer on one surface and a springy metal layer on the other surface; (2) photoetching the electrically conductive layer of the laminated plate to form a metal mask having a desired shape which does not cover an exposed area of the flexible insulating base material; (3) removing the flexible insulating base material from the exposed area; (4) photoetching the metal mask to produce a circuit wiring pattern; (5) producing a surface protecting layer on the surface of the circuit wiring pattern; and (6) photoetching the springy metal layer and bending the springy metal layer to form a suspension having a desired shape.
    Type: Grant
    Filed: June 6, 1995
    Date of Patent: January 28, 1997
    Assignee: Nippon Mektron, Ltd.
    Inventors: Inaba Masaichi, Matsumoto Hirofumi, Tanaka Yasuyuki
  • Patent number: 5589085
    Abstract: A new design for and an improved process of manufacturing a detecting unit for a type I electrolytic cell with thin film electrodes. In the first step of the process, a long, narrow, transparent, hollow glass tube is provided having an inside surface, an outside surface, and an end with a rim. A thin, noble metal film is deposited on the inside surface of the hollow glass tube. Then, a beam from a light source is directed through the hollow glass tube to remove a first portion of the noble metal film from the inside surface of the hollow glass tube and create a first spacer area. The beam also removes a second portion of the noble metal film from the inside surface of the hollow glass tube and creates a second spacer area. Consequently, two, separate electrode wires are created. Finally, an hygroscopic film is deposited on the entire inside surface of the hollow glass tube, covering completely the first and second electrode wires and the spacer areas.
    Type: Grant
    Filed: August 4, 1995
    Date of Patent: December 31, 1996
    Assignee: Meeco, Incorporated
    Inventor: Jacob Mettes
  • Patent number: 5575888
    Abstract: Sidewalls in a pattern of a refractory metal on a substrate are passivated during plasma etching by introducing water vapor into the etching chamber. This process obtains nearly vertical sidewalls. In one exemplified embodiment, a pattern of tungsten on a chromium etch step layer was reactive ion etched. In that embodiment, the reactive ion etching was intermittently paused. After each pause, the workpiece was warmed from below about 20.degree. C. to about room temperature. Then, water vapor was introduced into the etching chamber housing the workpiece. After the water vapor was introduced, the workpiece was cooled to below about 20.degree. C. and reactive ion etching was resumed. Alternatively, water vapor can be introduced into the etching chamber continuously during plasma etching.
    Type: Grant
    Filed: April 14, 1995
    Date of Patent: November 19, 1996
    Assignee: The United States of America as represented by the Secretary of the Navy
    Inventors: John Kosakowski, William Chu, Kelly W. Foster, Christie R. K. Marrian, Martin C. Peckerar
  • Patent number: 5575930
    Abstract: A method of manufacturing a gas-permeable membrane for an amperometric gas electrode comprising demetallizing areas of a metallized film to obtain a regular array of gas-permeable micropores.
    Type: Grant
    Filed: March 27, 1995
    Date of Patent: November 19, 1996
    Inventors: Jordis Tietje-Girault, Brian J. Seddon, Jerome F. McAleer
  • Patent number: 5562840
    Abstract: There is disclosed a substrate reclaim method comprising directing laser energy at a coating covering a part of an outer surface of a substrate, wherein the outer surface has a shiny finish, thereby removing with the laser energy all of the coating on the outer surface and etching with the laser energy a portion of the outer surface to change the etched outer surface portion from a shiny finish to a matte finish.
    Type: Grant
    Filed: January 23, 1995
    Date of Patent: October 8, 1996
    Assignee: Xerox Corporation
    Inventors: Eugene A. Swain, Peter J. Schmitt, Alfred O. Klein, John J. Wilbert
  • Patent number: 5533635
    Abstract: This invention provides a method for converting residual chlorine, remaining after using a chlorine specie etchant to etch metal electrodes in an integrated circuit device, to a stable polymer thereby eliminating any possibility for residual chlorine to cause corrosion of the metal electrodes. In conventional processing residual chlorine ions can combine with moisture and cause immediate corrosion of metal electrodes or can cause corrosion over time resulting in a degradation of device reliability. This invention provides a method of baking the integrated circuit device in an atmosphere of CF.sub.4, O.sub.2, or CF.sub.4 and O.sub.2 at elevated temperature, thereby converting any residual chlorine to a stable polymer. Since all the available chlorine is stabilized free chlorine is no longer available as a corrosion hazard.
    Type: Grant
    Filed: October 11, 1994
    Date of Patent: July 9, 1996
    Assignee: Chartered Semiconductor Manufacturing Pte. Ltd.
    Inventor: Chiu-Kwan Man
  • Patent number: 5515984
    Abstract: A method for etching a Pt film of the present invention includes the steps of: forming an etching resistant film on a Pt film, followed by patterning; etching the Pt film by using as an etching mask the etching resistant film and by using, as an etching gas, a mixed gas containing oxygen gas and chlorine gas or chloride gas, during which layers made of PtCl.sub.x O.sub.y or a mixture containing PtCl.sub.x and PtO.sub.y are formed on side walls of the etching resistant film and the Pt film; and removing the layers made of PtCl.sub.x O.sub.y or the mixture containing PtCl.sub.x and PtO.sub.y with an acid by wet etching after the etching step.
    Type: Grant
    Filed: January 24, 1995
    Date of Patent: May 14, 1996
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Seiichi Yokoyama, Yasuyuki Ito, Shigeo Onishi, Jun Kudo, Keizo Sakiyama