Irradiation Of Semiconductor Devices Patents (Class 250/492.2)
  • Patent number: 8471225
    Abstract: A charged particle beam writing method includes inputting layout information of a plurality of chips on which pattern formation is to be achieved, setting, using the layout information, a plurality of writing groups each being composed of at least one of the plurality of chips and each having writing conditions differing from each other, setting a frame which encloses a whole of all chip regions in all the plurality of writing groups, virtually dividing the frame into a plurality of stripe regions in a predetermined direction while keeping chips of writing groups differing from each other intermingled, setting an order of each of the plurality of stripe regions such that a reference position of the each of the plurality of stripe regions is located in order in the predetermined direction, and writing a pattern in the each of the plurality of stripe regions onto a target workpiece according to the order which has been set, by using a charged particle beam.
    Type: Grant
    Filed: September 2, 2010
    Date of Patent: June 25, 2013
    Assignee: NuFlare Technology, Inc.
    Inventor: Akihito Anpo
  • Patent number: 8466439
    Abstract: An electron beam lithography apparatus includes a storage for storing data on a drawing pattern assigned a rank based on an accuracy required for a device pattern, a drawing pattern adjustment unit to generate data on divided drawing patterns based on the rank, a settlement wait time adjustment unit to determine a settlement wait time based on the rank, and a controller to draw the device pattern while irradiating an electron beam based on the data on the divided drawing patterns and the settlement wait time. The drawing pattern adjustment unit determines upper limits on the long-side length of a divided drawing pattern or on the area of the divided drawing pattern based on the rank, and divides the drawing pattern based on the upper limits.
    Type: Grant
    Filed: May 25, 2011
    Date of Patent: June 18, 2013
    Assignee: Advantest Corp.
    Inventors: Akio Yamada, Tomohiro Sakazaki, Hiroshi Yasuda
  • Publication number: 20130146883
    Abstract: A method for manufacturing a semiconductor thin film is provided which can form its crystal grains having a uniform direction of crystal growth and being large in size and a manufacturing equipment using the above method, and a method for manufacturing a thin film transistor. In the above method, by applying an energy beam partially intercepted by a light shielding element, melt and re-crystallization occur with a light-shielded region as a starting point. The irradiation of the beam gives energy to the light-shielded region of the silicon thin film so that melt and re-crystallization occur with the light-shielded region as the starting point and so that a local temperature gradient in the light-shielded region is made to be 1200° C./?m or more. In the manufacturing method, a resolution of an optical system used to apply the energy beam is preferably 4 ?m or less.
    Type: Application
    Filed: February 7, 2013
    Publication date: June 13, 2013
    Applicant: GETNER FOUNDATION LLC
    Inventor: Getner Foundation LLC
  • Patent number: 8461556
    Abstract: Blockers in an ion beam blocker unit selectively block or trim an ion beam. In one instance, the ion beam has first current regions and second current regions. These current regions may be unequal. The ion beam is then implanted into a workpiece to form regions with different doses. The workpiece may be scanned so that the entirety of its surface is implanted.
    Type: Grant
    Filed: September 8, 2010
    Date of Patent: June 11, 2013
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Daniel Distaso, Russell J. Low
  • Patent number: 8461033
    Abstract: A light-emission output of a flash lamp for performing a light-irradiation heat treatment on a substrate in which impurities are implanted is increased up to a target value L1 over a period of time from 1 to 100 milliseconds, is kept for 5 to 100 milliseconds within a fluctuation range of plus or minus 30% from the target value L1, and is then attenuated from the target value L1 to zero over a period of time from 1 to 100 milliseconds. That is, compared with conventional flash lamp annealing, the light-emission output of the flash lamp is increased more gradually, is kept to be constant for a certain period of time, and is then decreased more gradually. As a result, a total heat amount of a surface of the substrate increases compared with the conventional case, but a surface temperature thereof rises more gradually and then drops more gradually compared with the conventional case.
    Type: Grant
    Filed: December 29, 2009
    Date of Patent: June 11, 2013
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventor: Shinichi Kato
  • Patent number: 8461558
    Abstract: A system for implanting a substrate. The system includes a substrate holder disposed within a process chamber of the system and coupled to ground. The system also includes an electrode disposed within the process chamber and coupled to a power source, the power source configured to supply voltage to the electrode as an unbalanced voltage pulse train, wherein a negative peak voltage during a negative voltage pulse period of the unbalanced voltage pulse train is higher than a positive peak voltage during a positive voltage pulse period of the unbalanced pulse train. The system further includes a movable mask, wherein the movable mask is configured to move between a first position proximate the substrate holder, and a second position proximate the driven electrode.
    Type: Grant
    Filed: July 1, 2011
    Date of Patent: June 11, 2013
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Bon-Woong Koo, Richard M. White, Kevin M. Daniels
  • Patent number: 8462826
    Abstract: A laser device includes a seed laser, an amplifier, a detector, and an optical element arranged to direct radiation emitted by the seed laser towards a plasma generation site. The optical element is arranged to direct towards the detector amplified spontaneous emission radiation which has been emitted by the seed laser and has been reflected from a droplet of fuel material. The detector is arranged to trigger generation of a laser radiation pulse by the seed laser when the reflected amplified spontaneous emission radiation is detected.
    Type: Grant
    Filed: January 7, 2010
    Date of Patent: June 11, 2013
    Assignee: ASML Netherlands B.V.
    Inventors: Erik Roelof Loopstra, Gerardus Hubertus Petrus Maria Swinkels, Erik Petrus Buurman
  • Patent number: 8461552
    Abstract: A particle isolation system includes a semiconductor process chamber; at least one member within the semiconductor process chamber wherein the member has at least a first position and a second position; and at least one isolation compartment having a plurality of walls, the isolation compartment defined by the plurality of walls, at least one of the plurality of walls of the isolation compartment defining at least one opening wherein the member in the first position permits particles to enter the isolation compartment from the semiconductor process chamber through the opening, and wherein the member in the second position substantially encloses the isolation compartment thereby substantially retaining the particles in the isolation compartment and substantially limiting movement of the particles between the semiconductor process chamber and the isolation compartment through the opening. An ion implant system is also provided.
    Type: Grant
    Filed: November 10, 2010
    Date of Patent: June 11, 2013
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Peter Nunan, Gregory Redinbo, Julian Blake, Paul S. Buccos
  • Patent number: 8461553
    Abstract: An improved method of producing solar cells utilizes a mask which is fixed relative to an ion beam in an ion implanter. The ion beam is directed through a plurality of apertures in the mask toward a substrate. The substrate is moved at different speeds such that the substrate is exposed to an ion dose rate when the substrate is moved at a first scan rate and to a second ion dose rate when the substrate is moved at a second scan rate. By modifying the scan rate, various dose rates may be implanted on the substrate at corresponding substrate locations. This allows ion implantation to be used to provide precise doping profiles advantageous for manufacturing solar cells.
    Type: Grant
    Filed: July 22, 2011
    Date of Patent: June 11, 2013
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Nicholas P. T. Bateman, Steven M. Anella, Benjamin B. Riordon, Atul Gupta
  • Patent number: 8455840
    Abstract: The disclosure relates to ion beams systems, such as gas field ion microscopes, having multiple modes of operation, as well as related methods. In some embodiments, the disclosure provides a method of operating a gas field ion microscope system that includes a gas field ion source, where the gas field ion source includes a tip including a plurality of atoms.
    Type: Grant
    Filed: October 19, 2011
    Date of Patent: June 4, 2013
    Assignee: Carl Zeiss Microscopy, LLC
    Inventor: Lawrence Scipioni
  • Publication number: 20130134327
    Abstract: Methods and systems for reducing the deleterious effects of gate bias stress on the drain current of an organic device, such as an organic thin film transistor, are provided. In a particular aspect, the organic layer of an organic device is illuminated with light having characteristics selected to reduce the gate bias voltage effects on the drain current of the organic device. For instance, the wavelength and intensity of the light are selected to provide a desired recovery of drain current of the organic device. If the characteristics of the light are appropriately matched to the organic device, recovery of the deleterious effects caused by gate bias voltage stress effects on the drain current of the organic device can be achieved. In a particular aspect, the organic device is selectively illuminated with light to operate the organic device in multiple modes of operation.
    Type: Application
    Filed: November 28, 2011
    Publication date: May 30, 2013
    Applicant: SAVANNAH RIVER NUCLEAR SOLUTIONS, LLC
    Inventor: Lucile C. Teague
  • Patent number: 8451457
    Abstract: A system comprising a substrate having thereon a multiphoton curable photoreactive composition, a light source that emits a light beam comprising a plurality of wavelengths onto at least one region of the composition on the substrate, and a detector that detects a portion of light reflected from the composition to obtain a location signal with respect to the substrate, wherein the location signal is based at least on a wavelength of the reflected light.
    Type: Grant
    Filed: October 3, 2008
    Date of Patent: May 28, 2013
    Assignee: 3m Innovative Properties Company
    Inventors: Brian J. Gates, Craig R. Sykora, Dean Faklis, Andrew J. Murnan, Nestor O. Farmiga
  • Patent number: 8450704
    Abstract: A system for modifying dislocation distributions in semiconductor materials is provided. The system includes one or more vibrational sources for producing at least one excitation of vibrational mode having phonon frequencies so as to enhance dislocation motion through a crystal lattice.
    Type: Grant
    Filed: December 3, 2010
    Date of Patent: May 28, 2013
    Assignee: Massachusetts Institute of Technology
    Inventors: Anthony Buonassisi, Mariana Bertoni, Bonna Newman
  • Publication number: 20130126924
    Abstract: A light-emitting diode (LED) element is provided. The LED element includes a substrate, a diode structure layer and several light-guide structures. The light-guide structures are formed on at least one of the substrate and the diode structure layer. Each light-guide structure has an inner sidewall, and several spiral slits formed on the inner side wall.
    Type: Application
    Filed: September 5, 2012
    Publication date: May 23, 2013
    Applicant: INDUSTRIAL TECHNOLOGY RESEARCH INSTITUTE
    Inventors: Chun-Ting Chen, Wei-Chih Shen, Li-Wen Lai
  • Patent number: 8445871
    Abstract: Mutual compatibility is established between the measurement with a high magnification and the measurement in a wide region. A pattern measurement apparatus is proposed which adds identification information to each of fragments that constitute a pattern within an image obtained by the SEM, and which stores the identification information in a predetermined storage format. Here, the identification information is added to each fragment for distinguishing between one fragment and another fragment. According to the above-described configuration, it turns out that the identification information is added to each fragment on the SEM image which has possessed no specific identification information originally. As a result, it becomes possible to implement the SEM-image management based on the identification information.
    Type: Grant
    Filed: April 20, 2010
    Date of Patent: May 21, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Ryoichi Matsuoka, Akihiro Onizawa, Akiyuki Sugiyama, Hidetoshi Morokuma, Yasutaka Toyoda
  • Patent number: 8445869
    Abstract: The invention relates to a charged particle multi-beamlet system for exposing a target using a plurality of beamlets. The system has a charged particle source, an aperture array, a beamlet manipulator, a beamlet blanker, and an array of projection lens systems. The charged particle source is configured to generate a charged particle beam. The aperture array is configured to define separate beamlets from the generated beam. The beamlet manipulator is configured to converge groups of the beamlets towards a common point of convergence for each group. The beamlet blanker is configured to controllably blank beamlets in the groups of beamlets. Finally, the array of projection lens systems is configured to project unblanked beamlets of the groups of beamlets on to the surface of the target. The beamlet manipulator is further adapted to converge each of the groups of beamlets towards a point corresponding to one of the projection lens systems.
    Type: Grant
    Filed: October 15, 2010
    Date of Patent: May 21, 2013
    Assignee: Mapper Lithography IP B.V.
    Inventors: Marco Jan-Jaco Wieland, Alexander Hendrik Vincent Van Veen
  • Patent number: 8436324
    Abstract: A charged particle lithography system comprising a preparation unit. The preparation unit comprises a housing having a first load port for loading and/or unloading a substrate into or out of the housing, a substrate transfer unit for locating the substrate onto a substrate support structure within the housing, and a second load port for loading and/or unloading the substrate support structure supporting the substrate.
    Type: Grant
    Filed: February 22, 2010
    Date of Patent: May 7, 2013
    Assignee: Mapper Lithography IP B.V.
    Inventor: Hendrik Jan De Jong
  • Patent number: 8436326
    Abstract: A multipurpose ion implanter beam line configuration comprising a mass analyzer magnet followed by a magnetic scanner and magnetic collimator combination that introduce bends to the beam path, the beam line constructed for enabling implantation of common monatomic dopant ion species cluster ions, the beam line configuration having a mass analyzer magnet defining a pole gap of substantial width between ferromagnetic poles of the magnet and a mass selection aperture, the analyzer magnet sized to accept an ion beam from a slot-form ion source extraction aperture of at least about 80 mm height and at least about 7 mm width, and to produce dispersion at the mass selection aperture in a plane corresponding to the width of the beam, the mass selection aperture capable of being set to a mass-selection width sized to select a beam of the cluster ions of the same dopant species but incrementally differing molecular weights, the mass selection aperture also capable of being set to a substantially narrower mass-selection
    Type: Grant
    Filed: November 17, 2010
    Date of Patent: May 7, 2013
    Assignee: Semequip, Inc.
    Inventors: Hilton F. Glavish, Thomas N. Horsky, Dale C. Jacobson, Sami K. Hahto, Masao Naito, Nobuo Nagai, Nariaki Hamamoto
  • Patent number: 8436325
    Abstract: Disclosed herein are provided an arrangement of devices suitable to downsize a synchrotron, a synchrotron using such an arrangement, and a particle therapy system using the synchrotron. In the synchrotron, a plurality of deflection magnets and a single defocusing quadrupole magnet are arranged between a first extraction deflector and a second extraction deflector. The defocusing quadrupole magnet is arranged between deflection magnets among the plurality of deflection magnets, a focusing quadrupole magnet is arranged on the side of an inlet of the first extraction deflector, and a focusing quadrupole magnet is arranged on the side of an outlet of the second extraction deflector.
    Type: Grant
    Filed: April 18, 2012
    Date of Patent: May 7, 2013
    Assignee: Hitachi, Ltd.
    Inventors: Fumiaki Noda, Kazuo Hiramoto, Takahiro Yamada
  • Patent number: 8431914
    Abstract: A charged particle beam writer system is disclosed comprising a generator for a charged particle beam having a beam blur radius, wherein the beam blur radius may be varied from shot to shot, or between two or more groups of shots. A method for fracturing or mask data preparation or optical proximity correction is also disclosed comprising assigning a beam blur radius variation to each calculated charged particle beam writer shot. A method for forming a pattern on a surface is also disclosed comprising using a charged particle beam writer system and varying the beam blur radius from shot to shot. A method for manufacturing an integrated circuit using optical lithography is also disclosed, comprising using a charged particle beam writer system to form a pattern on a reticle, and varying the beam blur radius of the charged particle beam writer system from shot to shot.
    Type: Grant
    Filed: August 24, 2010
    Date of Patent: April 30, 2013
    Assignee: D2S, Inc.
    Inventors: Kazuyuki Hagiwara, Akira Fujimura
  • Patent number: 8431912
    Abstract: The present invention relates to a lithography system in which intensities of individually modulated beams from a multitude of beams are determined, comprising a measuring device with a sensor having a sensor area adapted for simultaneously sensing a plurality of beams and providing an aggregated signal thereof. The beams are individually modulated according to associated temporal blanking patterns. The present invention further relates to a method for calculating individual beam intensities dependent on the measured aggregated signal and the temporal blanking patterns of the beams.
    Type: Grant
    Filed: November 5, 2009
    Date of Patent: April 30, 2013
    Assignee: Mapper Lithography IP B.V.
    Inventor: Alco Looije
  • Patent number: 8431892
    Abstract: An inspecting apparatus for reducing a time loss associated with a work for changing a detector is characterized by comprising a plurality of detectors 11, 12 for receiving an electron beam emitted from a sample W to capture image data representative of the sample W, and a switching mechanism M for causing the electron beam to be incident on one of the plurality of detectors 11, 12, where the plurality of detectors 11, 12 are disposed in the same chamber MC. The plurality of detectors 11, 12 can be an arbitrary combination of a detector comprising an electron sensor for converting an electron beam into an electric signal with a detector comprising an optical sensor for converting an electron beam into light and converting the light into an electric signal. The switching mechanism M may be a mechanical moving mechanism or an electron beam deflector.
    Type: Grant
    Filed: October 6, 2010
    Date of Patent: April 30, 2013
    Assignee: Ebara Corporation
    Inventors: Masahiro Hatakeyama, Shoji Yoshikawa, Kenichi Suematsu, Tsutomu Karimata, Nobuharu Noji
  • Patent number: 8431894
    Abstract: An electron beam device has an electron gun for generating an electron beam, an objective lens for focusing the electron beam on an object and at least one detector for detecting electrons emitted by the object or electrons backscattered by the object. Detection of electrons emitted by or backscattered by an object may be simplified and improved using quadrupole devices and certain configurations of these devices provided in the electron beam device.
    Type: Grant
    Filed: July 28, 2005
    Date of Patent: April 30, 2013
    Assignee: Carl Zeiss Microscopy GmbH
    Inventors: Erik Essers, Gerd Benner, Volker Drexel
  • Patent number: 8431907
    Abstract: A particle beam treatment device includes an irradiation nozzle which moves a particle beam in a direction which is perpendicular to an advancing direction; a dose monitor which measures the dose of the particle beam; a planning part which sets the irradiation dose applied to a target volume; and a controlling part which controls the irradiation dose applied to a target volume based on irradiation dose set value which is set by a value measured by the dose monitor and the planning part, wherein the planning part stores the absorbed dose distribution data in the depth direction which is prepared in advance using the absorbed dose at the reference depth which is a predetermined position nearer to an incident side of the particle beam than the position of Bragg peak as the reference and calculates the irradiation dose set value using the absorbed dose at the reference depth.
    Type: Grant
    Filed: March 8, 2011
    Date of Patent: April 30, 2013
    Assignee: Mitsubishi Electric Corporation
    Inventors: Masahiro Ikeda, Hisashi Harada, Osamu Takahashi
  • Patent number: 8426808
    Abstract: This invention comprises a method of imaging of a substrate in which a sample of interest is first ionized at multiple known positions whereafter a mass spectrum of the ionized sample at each of the multiple known positions is produced using a Mass Spectrometer. An overall spectrum for the whole sample is then created, and a number of peaks within the overall spectrum is selected. A scan distribution for at least some of the selected peaks is created, and the scan distributions are compared to identify correlations between different analytes within the sample.
    Type: Grant
    Filed: February 8, 2010
    Date of Patent: April 23, 2013
    Assignee: Waters Technologies Corporation
    Inventors: Emmanuelle Claude, Marten F. Snel, Johannes Petrus Cornelis Vissers, Keith Richardson
  • Patent number: 8426831
    Abstract: In one an embodiment, there is provided an assembly comprising at least one detector. Each of the at least one detector includes a substrate having a doped region of a first conduction type, a layer of dopant material of a second conduction type located on the substrate, a diffusion layer formed within the substrate and in contact with the layer of dopant material and the doped region of the substrate, wherein a doping profile, which is representative of a doping material concentration of the diffusion layer, increases from the doped region of the substrate to the layer of dopant material, a first electrode connected to the layer of dopant material, and a second electrode connected to the substrate. The diffusion layer is arranged to form a radiation sensitive surface.
    Type: Grant
    Filed: February 17, 2012
    Date of Patent: April 23, 2013
    Assignee: ASML Netherlands B.V.
    Inventors: Stoyan Nihtianov, Arie Johan Van Der Sijs, Bearrach Moest, Petrus Wilhelmus Josephus Maria Kemper, Marc Antonius Maria Haast, Gerardus Wilhelmus Petrus Baas, Lis Karen Nanver, Francesco Sarubbi, Antonius Andreas Johannes Schuwer, Gregory Micha Gommeren, Martijn Pot, Thomas Ludovicus Maria Scholtes
  • Patent number: 8426832
    Abstract: The present invention increases the number of characters available on a stencil for charged particle beam lithography. A stencil for charged particle beam lithography is disclosed, comprising two character projection (CP) characters, wherein the blanking areas for the two CP characters overlap. A stencil is also disclosed comprising two CP characters with one or more optional characters between the two characters, wherein the optional characters can form meaningful patterns on a surface only in combination with one of the two characters. A stencil is also disclosed wherein the blanking area of a CP character extends beyond the boundary of the stencil's available character area. Methods for design of the aforementioned stencils are also disclosed.
    Type: Grant
    Filed: September 2, 2009
    Date of Patent: April 23, 2013
    Assignee: D2S, Inc.
    Inventors: Kenji Yoshida, Takashi Mitsuhashi, Shohei Matsushita, Larry Lam Chau, Tam Dinh Thanh Nguyen, Donald MacMillen, Akira Fujimura
  • Patent number: 8426835
    Abstract: An electron gun that serves to reduce the quantity of electron stimulated desorption and accomplishes vacuum evacuation efficiently with a sufficient degree of vacuum. An electron source 1 and an extraction electrode 6 are provided for emitting an electron beam 7 from the electron source 1. A first vacuum chamber 16 containing the electron source 1 is connected to a second vacuum chamber 9 via an aperture 8 provided in the extraction electrode 6. Each vacuum chamber is differentially evacuated with an independent vacuum evacuation means, and the generation of electron stimulated desorption gas 11 is reduced by securing a wide route of vacuum evacuation around the electron source 1 and intercepting the procession of back scattered electrons 12 emitted from the area with the electron beam 7 on the extraction electrode 6 by using a shielding electrode 22 given a prescribed potential.
    Type: Grant
    Filed: June 15, 2010
    Date of Patent: April 23, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Keigo Kasuya, Takashi Ohshima, Souichi Katagiri, Masashi Kimura
  • Patent number: 8426830
    Abstract: A focused ion beam apparatus includes: a focused ion beam irradiating mechanism configured to irradiate a sample with a focused ion beam; a detector configured to detect a secondary charged particle generated by irradiating the sample with the focused beam; an image generating unit configured to generate an sample image of the sample; a processing area setting unit configured to set a processing area image including a plurality of pixels corresponding to positions of irradiation of the focused ion beam on the sample image; a position of irradiation setting unit configured to set coordinates of the pixels included in the processing area image; a beam setting unit configured to set a dose amount of the focused ion beam irradiated from the focused ion beam irradiating mechanism according to intensities; and an interpolating unit configured to perform an interpolating process on the processing area image.
    Type: Grant
    Filed: November 5, 2009
    Date of Patent: April 23, 2013
    Assignee: Sll Nano Technology Inc.
    Inventors: Makoto Sato, Masahiro Kiyohara, Junichi Tashiro
  • Patent number: 8421028
    Abstract: A device for deflecting a particle beam out of a beam axis, or for guiding a particle beam into the beam axis, has a simple design, requires little space, and additionally ensures that no area of an object that is not to be struck is struck by a particle beam. The device may include components in the following sequence along the beam axis: first deflection element, a magnetic apparatus for providing a magnetic field axially to the beam axis, and a second deflection element. A particle beam apparatus may have a device of this type.
    Type: Grant
    Filed: September 26, 2008
    Date of Patent: April 16, 2013
    Assignee: Carl Zeiss NTS GmbH
    Inventor: Dirk Preikszas
  • Patent number: 8421039
    Abstract: The present invention relates to a method and apparatus for varying the cross-sectional shape of an ion beam, as the ion beam is scanned over the surface of a workpiece, to generate a time-averaged ion beam having an improved ion beam current profile uniformity. In one embodiment, the cross-sectional shape of an ion beam is varied as the ion beam moves across the surface of the workpiece. The different cross-sectional shapes of the ion beam respectively have different beam profiles (e.g., having peaks at different locations along the beam profile), so that rapidly changing the cross-sectional shape of the ion beam results in a smoothing of the beam current profile (e.g., reduction of peaks associated with individual beam profiles) that the workpiece is exposed to. The resulting smoothed beam current profile provides for improved uniformity of the beam current and improved workpiece dose uniformity.
    Type: Grant
    Filed: March 31, 2011
    Date of Patent: April 16, 2013
    Assignee: Axcelis Technologies, Inc.
    Inventor: Edward C. Eisner
  • Patent number: 8414787
    Abstract: Methods and devices for selective etching in a semiconductor process are shown. Chemical species generated in a reaction chamber provide both a selective etching function and concurrently form a protective coating on other regions. An electron beam provides activation to selective chemical species. In one example, reactive species are generated from a plasma source to provide an increased reactive species density. Addition of other gasses to the system can provide functions such as controlling a chemistry in a protective layer during a processing operation. In one example an electron beam array such as a carbon nanotube array is used to selectively expose a surface during a processing operation.
    Type: Grant
    Filed: May 14, 2010
    Date of Patent: April 9, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Neal R. Rueger, Mark J. Williamson, Gurtej S. Sandhu
  • Patent number: 8415644
    Abstract: A processing system includes a piping which extends annularly, such as in the form of a circular annular shape, around a beam path between a focusing lens and an interaction region. The piping includes, on a side which faces the interaction region, a plurality of exit openings for the gas towards the interaction region. The piping also includes a holder configured to pivot the piping about a pivot axis. The holder is parallel to the tilt axis of the object holder.
    Type: Grant
    Filed: April 20, 2012
    Date of Patent: April 9, 2013
    Assignee: Carl Zeiss Microscopy GmbH
    Inventor: Fabian Perez-Willard
  • Patent number: 8410438
    Abstract: A charged particle beam device has a tilt detection unit that detects a tilt of a sample surface and an E×B deflector in which an electric field and a magnetic field are overlapped with each other and which causes, according to the detected tilt of the sample surface, the sample surface to be perpendicularly irradiated with an irradiation charged particle beam while, at the same time, aligning the trajectory of the charged particle beam with the optical axis centers of an irradiation optical system and an imaging optical system; thereby, the charged particle beam device can prevent problems possibly occurring in cases where a sample stage is tilted or a sample surface is undulating and can enable an accurate image to be acquired.
    Type: Grant
    Filed: May 3, 2011
    Date of Patent: April 2, 2013
    Assignee: Hitachi, Ltd.
    Inventors: Tomokazu Shimakura, Masaki Hasegawa
  • Patent number: 8410459
    Abstract: An ion implantation device and a method of manufacturing a semiconductor device is described, wherein ionized boron hydride molecular clusters are implanted to form P-type transistor structures. For example, in the fabrication of Complementary Metal-Oxide Semiconductor (CMOS) devices, the clusters are implanted to provide P-type doping for Source and Drain structures and for Polygates; these doping steps are critical to the formation of PMOS transistors. The molecular cluster ions have the chemical form BnHx+ and BnHx?, where 10?n?100 and 0?x?n+4.
    Type: Grant
    Filed: May 6, 2011
    Date of Patent: April 2, 2013
    Assignee: SemEquip, Inc.
    Inventors: Thomas N. Horsky, Dale C. Jacobson
  • Patent number: 8405053
    Abstract: A focused ion beam apparatus, including: a specimen transferring unit having a probe to which a micro-specimen extracted from a specimen, can be joined through a joining deposition film, for transferring the micro-specimen to a sample holder; and wherein, the specimen transferring unit holds the probe which is joined through the joining deposition film to the micro-specimen extracted from the specimen, and the sample stage moves so that the sample holder mounted on the holder clasp is provided into an irradiated range of the focused ion beam, and the specimen transferring unit approaches the probe to the sample holder, and the gas nozzle supplies the deposition gas so that the micro-specimen is fixed to the sample holder through a fixing deposition film, and the ion beam irradiating optical system irradiates the focused ion beam to the micro-specimen fixed to the sample holder for various procedures.
    Type: Grant
    Filed: February 14, 2011
    Date of Patent: March 26, 2013
    Assignee: Hitachi, Ltd.
    Inventors: Satoshi Tomimatsu, Kaoru Umemura, Yuichi Madokoro, Yoshimi Kawanami, Yasunori Doi
  • Patent number: 8405051
    Abstract: A method for removal of a deposition on an uncapped multilayer mirror of an apparatus. The method includes providing a gas that includes one or more of H2, D2, and DH, and one or more additional compounds selected from hydrocarbon compounds and/or silane compounds in at least part of the apparatus; producing hydrogen and/or deuterium radicals and radicals of the one or more additional compounds, from the gas; and bringing the uncapped multilayer mirror with deposition into contact with at least part of the hydrogen and/or deuterium radicals and the radicals of the one or more additional compounds to remove at least part of the deposition.
    Type: Grant
    Filed: June 9, 2009
    Date of Patent: March 26, 2013
    Assignee: ASML Netherlands B.V.
    Inventors: Vadim Yevgenyevich Banine, Maarten Marinus Johannes Wilhelmus Van Herpen, Wouter Anthon Soer, Martin Jacobus Johan Jak
  • Patent number: 8405055
    Abstract: A radiation source is configured to generate extreme ultraviolet radiation. The radiation source includes a fuel supply configured to supply a fuel to a plasma formation site; a laser configured to emit a beam of radiation to the plasma formation site so that a plasma that emits extreme ultraviolet radiation is generated when the beam of radiation impacts the fuel; a fuel particulate interceptor constructed and arranged to shield at least part of the radiation source from fuel particulates that are emitted by the plasma, the fuel particulate interceptor comprising a first portion and a second portion, the second portion being positioned closer to the plasma formation site than the first portion, and the first portion being rotatable; and a fuel particulate remover constructed and arranged to remove fuel particulates from a surface of the fuel particulate interceptor and to direct the fuel particulates towards a collection location.
    Type: Grant
    Filed: September 24, 2009
    Date of Patent: March 26, 2013
    Assignee: ASML Netherlands B.V.
    Inventors: Dzmitry Labetski, Vadim Yevgenyevich Banine, Erik Roelof Loopstra, Johannes Hubertus Josephina Moors, Gerardus Hubertus Petrus Maria Swinkels
  • Publication number: 20130068970
    Abstract: A UV irradiation apparatus for treating substrates includes: at least two process stations each provided with a UV transmissive window; at least one electric UV lamp using two electrodes in a gas tube extending over the UV transmissive windows of the process stations aligned along the gas tube and shared by the process stations; a UV transmissive zone disposed between the UV lamp and the process stations and provided with reflectors; and shutters for blocking UV light from being transmitted to the respective process stations independently.
    Type: Application
    Filed: September 21, 2011
    Publication date: March 21, 2013
    Applicant: ASM JAPAN K.K.
    Inventor: Kiyohiro Matsushita
  • Patent number: 8399831
    Abstract: Dual beam instruments, comprising a Scanning Electron Microscope (SEM) column for imaging and a Focused Ion Beam (FIB) column for milling, are routinely used to extract samples (lamellae) from semiconductor wafers. By observing the progress of the milling with the SEM column, end pointing of the milling process can be performed. The invention offers an alternative solution to this problem, in which an instrument with only a FIB column is used. For milling a lamella to its final thickness of, for example, 30 nm, the focused ion beam 100, is scanned repeatedly along the lamella. It is found that while milling the lamella a signal can be derived from the lamella that is sufficient for end pointing. No additional electron beam for inspection is needed.
    Type: Grant
    Filed: March 26, 2010
    Date of Patent: March 19, 2013
    Assignee: FEI Company
    Inventors: Jacob Simon Faber, Remco Theodorus Johannes Petrus Geurts
  • Patent number: 8399851
    Abstract: Systems and methods of an ion implant apparatus include an ion source for producing an ion beam along an incident beam axis. The ion implant apparatus includes a beam deflecting assembly coupled to a rotation mechanism that rotates the beam deflecting assembly about the incident beam axis and deflects the ion beam. At least one wafer holder holds target wafers and the rotation mechanism operates to direct the ion beam at one of the at least one wafer holders which also rotates to maintain a constant implant angle.
    Type: Grant
    Filed: February 15, 2011
    Date of Patent: March 19, 2013
    Inventor: John Ruffell
  • Patent number: 8399861
    Abstract: A lithography apparatus (10) is disclosed using extreme UV radiation and having a hydrocarbon sorbing member comprising a getter material arranged in the process chamber (13) of said apparatus.
    Type: Grant
    Filed: February 10, 2009
    Date of Patent: March 19, 2013
    Assignee: Saes Getters S.p.A.
    Inventors: Paolo Manini, Andrea Conte
  • Patent number: 8399852
    Abstract: The systems and methods described herein relate to the use of electrostatic elements or combinations of electrostatic and magnetic elements to confine charged particles in stable recirculating, trapped orbits. More particularly, the invention relates to systems and methods for acceleration and focusing of multiple charged particle beams having multiple energies and arbitrary polarities along a common axis.
    Type: Grant
    Filed: November 23, 2011
    Date of Patent: March 19, 2013
    Inventors: Alexander Klein, Matthew Wittman, Scott Rackey
  • Patent number: 8395117
    Abstract: Provided is a spectrophotometer using medium energy ion. The spectrophotometer using medium energy ion is configured to include: an ion source 10 generating ions; a collimator 20 collimating the ions as a parallel beam; an accelerator 30 accelerating the parallel beam; an ion beam pulse generator 40 pulsing the accelerated ion beam; a focusing objective 50 focusing the pulsed ion beam on a specimen 1; a detector 60 detecting a spectroscopic signal of scattered ion from a specimen 1; and a data analyzer 70 analyzing and processing the spectroscopic signal detected by the detector 60.
    Type: Grant
    Filed: July 28, 2009
    Date of Patent: March 12, 2013
    Assignees: K-MAC, Korea Research Institute of Standards and Science
    Inventors: Dae Won Moon, Ju Hwang Kim, Yeon Jin Yi, Kyu-Sang Yu, Wan Sup Kim
  • Patent number: 8395132
    Abstract: A method that includes implantation of dopants while a III-nitride body is being grown on a substrate, and an apparatus for the practice of the method.
    Type: Grant
    Filed: January 3, 2008
    Date of Patent: March 12, 2013
    Assignee: International Rectifier Corporation
    Inventor: Michael A Briere
  • Patent number: 8389962
    Abstract: A system and method for noise compensation of a charged particle beam location includes one or more sensors that are spaced apart from each other for sensing magnetic noises within at least one predefined frequency band thereby to provide magnetic noise measurements with synchronous detection of the location of a charged particle beam. Based on the magnetic noise measurements and on relationships between values of the magnetic noises and particle beam location errors, magnetic noise compensations signals are generated. An object is then scanned by a particle beam in response to a desired particle beam scan pattern and the magnetic noise compensation signals.
    Type: Grant
    Filed: May 31, 2011
    Date of Patent: March 5, 2013
    Assignee: Applied Materials Israel, Ltd.
    Inventors: Konstantine Chirko, Alon Litman, Yoav Nachum
  • Patent number: 8389963
    Abstract: Provided is a laser processing device capable of preventing laser light from leaking out to the outside of the housing by emitting the laser light to the processing target with the camera detached. The laser processing device includes a laser generator; a scanning optical system for scanning the laser light with respect to a workpiece; a housing frame for accommodating the scanning optical system; a camera for photographing the workpiece, the camera being removably attached to the housing frame and having a light receiving axis branched from an emission axis of the laser light; a camera cover removably attached to the housing frame to cover the camera; a limit SW for detecting detachment of the camera cover from the housing frame; and a laser output control section for prohibiting the emission of the laser light to the workpiece based on a cover detection signal.
    Type: Grant
    Filed: December 28, 2011
    Date of Patent: March 5, 2013
    Assignee: Keyence Corporation
    Inventor: Makoto Kubota
  • Patent number: 8384048
    Abstract: The invention provides a method for patterning a resist coated substrate carried on a stage, where the patterning utilizes a charged particle beam. The method comprises the steps of: moving the stage at a nominally constant velocity in a first direction; while the stage is moving, deflecting the charged particle beam in the first direction to compensate for the movement of the stage, the deflecting including: (a) compensating for an average velocity of the stage; and (b) separately compensating for the difference between an instantaneous position of the stage and a calculated position based on the average velocity. The separately compensating step uses a bandwidth of less than 10 MHz. The invention also provides a deflector control circuit for implementing the separate compensation functions.
    Type: Grant
    Filed: June 25, 2008
    Date of Patent: February 26, 2013
    Assignee: Multibeam Corporation
    Inventor: John C. Wiesner
  • Patent number: 8384029
    Abstract: A first instrument (230) is used to image a first semiconductor article having a trench (110) of defined cross-section, while a second instrument (220) is used to simultaneously prepare a second semiconductor article with a trench of defined cross-section. Furthermore, a method is disclosed to prepare a trench (110) of defined cross-section in a semiconductor article by rough milling and subsequent fine milling.
    Type: Grant
    Filed: June 16, 2009
    Date of Patent: February 26, 2013
    Assignee: Carl Zeiss NTS, LLC
    Inventors: Rainer Knippelmeyer, Lawrence Scipioni, Christoph Riedesel, John Morgan, Ulrich Mantz, Ulrich Wagemann
  • Patent number: RE44035
    Abstract: An instrument system is controlled to acquire an optical image of an object, with the optical image defining a first coordinate system. The object is positioned in a second coordinate system and a point in the optical image is selected. The object is repositioned so that a point on the object corresponding to the selected point in the optical image is positioned at a predetermined point in the second coordinate system. Alternatively, movement of the object causes an indicia on the optical image to move to a point thereon corresponding to the point on the object that is positioned at the predetermined point in the second coordinate system.
    Type: Grant
    Filed: January 28, 2009
    Date of Patent: March 5, 2013
    Assignee: FEI Company
    Inventors: Frederick H. Schamber, Cornelis G. van Beek, Nicholas Ritchie