Stacked Capacitor Patents (Class 257/303)
  • Publication number: 20080029801
    Abstract: A semiconductor device includes a first insulating layer, a capacitor, an adhesive layer, and an intermediate layer. The first insulating layer may include a first insulating film. The first insulating layered structure has a first hole. The capacitor is disposed in the first hole. The capacitor may include bottom and top electrodes and a capacitive insulating film. The capacitive insulating film is sandwiched between the bottom and top electrodes. The adhesive layer contacts with the bottom electrode. The adhesive layer has adhesiveness to the bottom electrode. The intermediate layer is interposed between the adhesive layer and the first insulating film. The intermediate layer contacts with the adhesive layer and with the first insulating film. The intermediate layer has adhesiveness to the adhesive layer and to the first insulating film.
    Type: Application
    Filed: July 12, 2007
    Publication date: February 7, 2008
    Applicant: ELPIDA MEMORY, INC.
    Inventor: Yoshitaka NAKAMURA
  • Patent number: 7326613
    Abstract: A method of manufacturing a semiconductor device includes forming conductive structures on a substrate. Each of the conductive structures has a line shape that extends along a first direction parallel to the substrate. Insulating spacers are formed on upper sidewalls of the conductive structures. An insulating interlayer is formed that covers the conductive structures. A portion of the insulating interlayer between the conductive structures is etched to form a contact hole. An upper portion of the contact hole is larger than a lower portion thereof. The upper portion of the contact hole has a first width along the first direction and a second width along a second direction parallel to the substrate and substantially perpendicular to the first direction. The first width is substantially larger than the second width. The contact hole is filled with a conductive material to form a contact plug.
    Type: Grant
    Filed: March 31, 2005
    Date of Patent: February 5, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Cheol-ju Yun, Tae-young Chung, Dong-jun Lee
  • Patent number: 7326985
    Abstract: A memory cell and method of forming the same is provided. To make contact between a bit line and a select transistor of a dynamic memory unit on a semiconductor wafer, a contact hole is filled with a metal or a metal alloy. A liner layer may be introduced between the semiconductor substrate and the metal filling. The semiconductor substrate has a doped region in the contact hole.
    Type: Grant
    Filed: October 23, 2003
    Date of Patent: February 5, 2008
    Assignee: Infineon Technologies AG
    Inventors: Ralf Staub, Jürgen Amon, Norbert Urbansky
  • Patent number: 7326990
    Abstract: A semiconductor device includes a first hydrogen barrier film, a capacitor device formed on the first hydrogen barrier film, and a second hydrogen barrier film formed to cover the capacitor device. The first and second hydrogen barrier films each contain at least one common type of atoms for allowing the first and second hydrogen barrier films to adhere to each other.
    Type: Grant
    Filed: March 3, 2006
    Date of Patent: February 5, 2008
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Takumi Mikawa, Yuji Judai, Toshie Kutsunai
  • Patent number: 7326984
    Abstract: An MIS capacitor with low leakage and high capacitance is disclosed. A layer of hemispherical grained polysilicon (HSG) is formed as a lower electrode. Prior to the dielectric formation, the hemispherical grained polysilicon layer may be optionally subjected to a nitridization or anneal process. A dielectric layer of aluminum oxide (Al2O3), or a composite stack of interleaved layers of aluminum oxide and other metal oxide dielectric materials, is fabricated over the hemispherical grained polysilicon layer and after the optional nitridization or anneal process. The dielectric layer of aluminum oxide (Al2O3) or the aluminum oxide composite stack may be optionally subjected to a post-deposition treatment to further increase the capacitance and decrease the leakage current. A metal nitride upper electrode is formed over the dielectric layer or the composite stack by a deposition technique or by atomic layer deposition.
    Type: Grant
    Filed: June 29, 2006
    Date of Patent: February 5, 2008
    Assignee: Micron Technology, Inc
    Inventors: Cem Basceri, Garo J. Derderian
  • Patent number: 7323738
    Abstract: An MIS capacitor with low leakage and high capacitance is disclosed. A layer of hemispherical grained polysilicon (HSG) is formed as a lower electrode. Prior to the dielectric formation, the hemispherical grained polysilicon layer may be optionally subjected to a nitridization or anneal process. A dielectric layer of aluminum oxide (Al2O3), or a composite stack of interleaved layers of aluminum oxide and other metal oxide dielectric materials, is fabricated over the hemispherical grained polysilicon layer and after the optional nitridization or anneal process. The dielectric layer of aluminum oxide (Al2O3) or the aluminum oxide composite stack may be optionally subjected to a post-deposition treatment to further increase the capacitance and decrease the leakage current. A metal nitride upper electrode is formed over the dielectric layer or the composite stack by a deposition technique or by atomic layer deposition.
    Type: Grant
    Filed: October 11, 2006
    Date of Patent: January 29, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Cem Basceri, Garo J. Derderian
  • Patent number: 7321149
    Abstract: A patterned mask can be formed as follows. A first patterned photoresist is formed over a masking layer and utilized during a first etch into the masking layer. The first etch extends to a depth in the masking layer that is less than entirely through the masking layer. A second patterned photoresist is subsequently formed over the masking layer and utilized during a second etch into the masking layer. The combined first and second etches form openings extending entirely through the masking layer and thus form the masking layer into the patterned mask. The patterned mask can be utilized to form a pattern in a substrate underlying the mask. The pattern formed in the substrate can correspond to an array of capacitor container openings. Capacitor structure can be formed within the openings. The capacitor structures can be incorporated within a DRAM array.
    Type: Grant
    Filed: July 22, 2005
    Date of Patent: January 22, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Brett W. Busch, Luan C. Tran, Ardavan Niroomand, Fred D. Fishburn, Richard D. Holscher
  • Patent number: 7309890
    Abstract: A static random access memory (SRAM) cell structure at least comprising a substrate, a transistor, an upper electrode and a capacitor dielectric layer. A device isolation structure is set up in the substrate to define an active region. The active region has an opening. The transistor is set up over the active region of the substrate. The source region of the transistor is next to the opening. The upper electrode is set up over the opening such that the opening is completely filled. The capacitor dielectric layer is set up between the upper electrode and the substrate.
    Type: Grant
    Filed: May 2, 2006
    Date of Patent: December 18, 2007
    Assignee: United Microelectronics Corp.
    Inventors: Tzung-Han Lee, Kuang-Pi Lee, Wen-Jeng Lin, Rern-Hurng Larn
  • Patent number: 7307303
    Abstract: A semiconductor device is provided which has a capacitor insulating film made up of zirconium aliminate being an amorphous film obtained by having crystalline dielectric contain amorphous aluminum oxide and having its composition of AlXZr(1-X)OY (0.05?x?0.3), hereby being capable of preventing, in a process of forming a capacitor of MIM (Metal Insulator Metal) structure, dielectric breakdown of a capacitor insulating film while a relative dielectric constant of a metal oxide film used as the capacitor insulating film is kept high.
    Type: Grant
    Filed: May 4, 2005
    Date of Patent: December 11, 2007
    Assignee: NEC Electronics Corporation
    Inventor: Ichiro Yamamoto
  • Patent number: 7307304
    Abstract: A ferroelectric material includes a compound of formula (I): (Pb1?x?zBazAx)(ByZr1?y)O3, ??(I) wherein 0?x?0.1, 0?y?0.020, 0.15?z?0.35, with the proviso that y?0 when x=0, and that x?0, when y=0; and wherein A is a first element having a valence number greater than that of Pb, and B is a second element having a valence number greater than that of Zr. A ferroelectric memory device made from the ferroelectric material is also disclosed.
    Type: Grant
    Filed: June 9, 2005
    Date of Patent: December 11, 2007
    Assignee: National Tsing Hua University
    Inventors: Tai-Bor Wu, Cheng-Lung Hung
  • Patent number: 7304341
    Abstract: A semiconductor device comprises: an insulating film formed over a semiconductor substrate and having a first recess; a plurality of capacitor elements each of which is composed of a capacitor lower electrode formed on wall and bottom portions of the first recess and having a second recess, a capacitor insulating film of a dielectric film formed on wall and bottom portions of the second recess and having a third recess, and a capacitor upper electrode formed on wall and bottom portions of the third recess; and a conductive layer (referred hereinafter to as a low-resistance conductive layer) which is formed to cover at least portions of the respective capacitor upper electrodes constituting the plurality of capacitor elements and to extend across the plurality of capacitor elements and which has a lower resistance than the capacitor upper electrode.
    Type: Grant
    Filed: May 2, 2006
    Date of Patent: December 4, 2007
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Takumi Mikawa, Toru Nasu
  • Patent number: 7301192
    Abstract: Stack and trench memory cells are provided in a DRAM memory cell array. The stack and trench memory cells are arranged so as to form identical cell pairs each having a trench capacitor, a stack capacitor and a semiconductor fin, in which the active areas of two select transistors for addressing the trench and stack capacitors are formed. The semiconductor fins are arranged in succession in the longitudinal direction to form cell rows and in this arrangement are spaced apart from one another by in each case a trench capacitor. Respectively adjacent cell rows are separated from one another by trench isolator structures and are offset with respect to one another by half the length of a cell pair. The semiconductor fins are crossed by at least two active word lines, which are orthogonal with respect to the cell rows, for addressing the select transistors realized in the semiconductor fin.
    Type: Grant
    Filed: September 8, 2005
    Date of Patent: November 27, 2007
    Assignee: Infineon Technologies AG
    Inventors: Johann Harter, Wolfgang Mueller, Wolfgang Bergner, Ulrike Grüning Von Schwerin, Till Schloesser, Rolf Weis
  • Publication number: 20070267673
    Abstract: One or more on-chip VNCAP or MIMCAP capacitors utilize a variable MOS capacitor to improve the uniform capacitance value of the capacitors. This permits the production of silicon semiconductor chips on which are mounted capacitors having capacitive values that are precisely adjusted to be within a range of between about 1% and 5% of their design value. This optimization can be achieved by the use of a back-to-back connection between a pair of the variable MOS capacitors for DC decoupling. It involves the parallelization of on-chip BEOL capacitance of VNCAP and/or MIMCAP capacitors by the insertion in the FEOL of pairs of back-to-back variable MOS capacitors.
    Type: Application
    Filed: May 18, 2006
    Publication date: November 22, 2007
    Applicant: International Business Machines Corporation
    Inventors: Jonghae Kim, Moon J. Kim, Jean-Olivier Plouchart, Robert E. Trzcinski
  • Patent number: 7298000
    Abstract: Container structures for use in integrated circuits and methods of their manufacture. The container structures have a dielectric cap on the top of a conductive container to reduce the risk of container-to-container shorting by insulating against bridging of conductive debris across the tops of adjacent container structures. The container structures are adapted for use in memory cells and apparatus incorporating such memory cells, as well as other integrated circuits.
    Type: Grant
    Filed: July 17, 2006
    Date of Patent: November 20, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej Singh Sandhu, Alan R. Reinberg
  • Patent number: 7297999
    Abstract: An interlayer insulating film (22) is formed on a semiconductor substrate. A conductive plug (25) is embedded in a via hole formed through the interlayer insulating film. An oxygen barrier conductive film (33) is formed on the interlayer insulating film and being inclusive of an area of the conductive plug as viewed in plan. A capacitor (35) laminating a lower electrode, a dielectric film and an upper electrode in this order is formed on the oxygen barrier film. An intermediate layer (34) is disposed at an interface between the oxygen barrier film and the lower electrode. The intermediate layer is made of alloy which contains at least one constituent element of the oxygen barrier film and at least one constituent element of the lower electrode.
    Type: Grant
    Filed: October 31, 2006
    Date of Patent: November 20, 2007
    Assignee: Fujitsu Limited
    Inventor: Wensheng Wang
  • Patent number: 7294905
    Abstract: A thin film capacitor comprising a lower electrode formed on a predetermined surface, a dielectric layer formed on the lower electrode, and an upper electrode formed on the dielectric layer, wherein the end portion of the lower electrode is further covered by an insulator other than the dielectric layer.
    Type: Grant
    Filed: July 12, 2002
    Date of Patent: November 13, 2007
    Assignee: Hitachi, Ltd.
    Inventors: Masahiko Ogino, Toshiya Satoh, Takao Miwa, Toshihide Nabatame, Satoru Amou
  • Patent number: 7291561
    Abstract: The present invention relates to a chip package that includes a semiconductor device and at least one micro electromechanical structure (MEMS) such that the semiconductor device and the MEMS form an integrated package. One embodiment of the present invention includes a semiconductor device, a first MEMS device disposed in a conveyance such as a film, and a second MEMS device disposed upon the semiconductor device through a via in the conveyance. The present invention also relates to a process of forming a chip package that includes providing a conveyance such as a tape automated bonding (TAB) structure, that may hold at least one MEMS device. The method is further carried out by disposing the conveyance over the active surface of the device in a manner that causes the at least one MEMS to communicate electrically to the active surface. Where appropriate, a sealing structure such as a solder ring may be used to protect the MEMS.
    Type: Grant
    Filed: July 21, 2003
    Date of Patent: November 6, 2007
    Assignee: Intel Corporation
    Inventors: Qing Ma, Peng Cheng, Valluri Rao
  • Patent number: 7282756
    Abstract: Structurally-stable, tall capacitors having unique three-dimensional architectures for semiconductor devices are disclosed. The capacitors include monolithically-fabricated upright microstructures, i.e., those having large height/width (H/W) ratios, which are mechanical reinforcement against shear forces and the like, by a brace layer that transversely extends between lateral sides of at least two of the free-standing microstructures. The brace layer is formed as a microbridge type structure spanning between the upper ends of the two or more microstructures.
    Type: Grant
    Filed: September 22, 2003
    Date of Patent: October 16, 2007
    Assignee: Micron Technology Inc.
    Inventors: Vishnu K. Agarwal, Gurtej Sandhu
  • Patent number: 7282803
    Abstract: An electronic circuit includes a substrate. A capacitor and at least one semiconductor component are supported by a surface of the substrate. A substantially planar screen, oriented parallel to the surface of the substrate and made of metallic material, is placed between the capacitor and the semiconductor component. Preferably, the semiconductor component is placed in proximity to the surface of the substrate and several superposed layers of insulating material cover the surface of the substrate and the semiconductor component. The capacitor is then placed within at least one layer of insulating material above the semiconductor component, and the screen is placed within an intermediate layer of insulating material between the layer incorporating the capacitor and the surface of the substrate.
    Type: Grant
    Filed: May 2, 2003
    Date of Patent: October 16, 2007
    Assignee: STMicroelectronics S. A.
    Inventors: Andréa Cathelin, Christophe Bernard, Philippe Delpech, Pierre Troadec, Laurent Salager, Christophe Garnier
  • Patent number: 7279733
    Abstract: Provided are a dual damascene interconnection with a metal-insulator-metal (MIM) capacitor and a method of fabricating the same. In this structure, an MIM capacitor is formed on a via-level IMD. After the via-level IMD is formed, while an alignment key used for patterning the MIM capacitor is being formed, a via hole is formed to connect a lower electrode of the MIM capacitor and an interconnection disposed under the via-level IMD. Also, an upper electrode of the MIM capacitor is directly connected to an upper metal interconnection during a dual damascene process.
    Type: Grant
    Filed: March 12, 2004
    Date of Patent: October 9, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyoung-woo Lee, Soo-geun Lee
  • Patent number: 7274061
    Abstract: The invention includes methods of forming rugged electrically conductive surfaces. In one method, a layer is formed across a substrate and subsequently at least partially dissociated to form gaps extending to the substrate. An electrically conductive surface is formed to extend across the at least partially dissociated layer and within the gaps. The electrically conductive surface has a rugged topography imparted by the at least partially dissociated layer and the gaps. The topographically rugged surface can be incorporated into capacitor constructions. The capacitor constructions can be incorporated into DRAM cells, and such DRAM cells can be incorporated into electrical systems.
    Type: Grant
    Filed: May 6, 2004
    Date of Patent: September 25, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Marsela Pontoh, Cem Basceri, Thomas M. Graettinger
  • Patent number: 7274059
    Abstract: The invention includes methods of forming rugged electrically conductive surfaces. In one method, a layer is formed across a substrate and subsequently at least partially dissociated to form gaps extending to the substrate. An electrically conductive surface is formed to extend across the at least partially dissociated layer and within the gaps. The electrically conductive surface has a rugged topography imparted by the at least partially dissociated layer and the gaps. The topographically rugged surface can be incorporated into capacitor constructions. The capacitor constructions can be incorporated into DRAM cells, and such DRAM cells can be incorporated into electrical systems.
    Type: Grant
    Filed: July 12, 2006
    Date of Patent: September 25, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Marsela Pontoh, Cem Basceri, Thomas M. Graettinger
  • Patent number: 7268380
    Abstract: The present invention provides a method of increasing designing freedom of a position to form a capacitor, and increasing a capacitance value thereof. When forming a first contact, a tungsten plug for increasing a surface area of a lower electrode is formed in a contact interlayer film at a region where the capacitor is to be formed. Since the tungsten plug does not have to be formed right above the capacitor contact, a position to form the capacitor is not limited by a position where the capacitor contact is provided.
    Type: Grant
    Filed: September 16, 2004
    Date of Patent: September 11, 2007
    Assignee: NEC Electronics Corporation
    Inventor: Tomoko Inoue
  • Patent number: 7267783
    Abstract: This invention provides a piezoelectric ceramic composition that does not contain lead, can be sintered at a normal pressure and is excellent in at least one of a piezoelectric constant, an electro-mechanical coupling coefficient, a dielectric loss, a relative dielectric constant and a Curie point, its production method, and a piezoelectric device and a dielectric device each utilizing the piezoelectric ceramic composition. The invention relates to a piezoelectric composition expressed by the general formula {Lix(K1?yNay)1?x}(Nb1?zSbz)O3, each of x, y and z respectively falling within composition ranges of 0?x?0.2, 0?y?1.0 and 0?z?0.2 (with the exception of x=z=0), and its production method. The invention further relates to a piezoelectric device having a piezoelectric body formed of the piezoelectric ceramic composition described above and a dielectric device having a dielectric body formed of the piezoelectric ceramic composition described above.
    Type: Grant
    Filed: March 19, 2003
    Date of Patent: September 11, 2007
    Assignee: DENSO CORPORATION
    Inventors: Tatsuhiko Nonoyama, Toshiatsu Nagaya, Yasuyoshi Saito, Kazumasa Takatori, Takahiko Homma, Hisaaki Takao
  • Patent number: 7268383
    Abstract: Semiconductor devices having capacitors formed of a high-k dielectric and a pair of interconnections on either side of the dielectric are provided along with methods of fabricating such semiconductor devices. The interconnections comprise a via and a metal layer.
    Type: Grant
    Filed: February 20, 2003
    Date of Patent: September 11, 2007
    Assignee: Infineon Technologies AG
    Inventors: Petra Felsner, Thomas Schafbauer, Uwe Kerst, Hans-Joachim Barth, Erdem Kaltalioglu
  • Patent number: 7268038
    Abstract: According to one embodiment of the invention, a method for fabricating a MIM capacitor in a semiconductor die includes a step of depositing a first interconnect metal layer. The method further includes depositing a layer of silicon nitride on the first interconnect layer. The layer of silicon nitride is deposited in a deposition process using an ammonia-to-silane ratio of at least 12.5. The method further includes depositing a layer of MIM capacitor metal on the layer of silicon nitride. The method further includes etching the layer of MIM capacitor metal to form an upper electrode of the MIM capacitor. According to this exemplary embodiment, the method further includes etching the layer of silicon nitride to form a MIM capacitor dielectric segment and etching the first interconnect metal layer to form a lower electrode of the MIM capacitor. The MIM capacitor has a capacitance density of at least 2.0 fF/um2.
    Type: Grant
    Filed: November 23, 2004
    Date of Patent: September 11, 2007
    Assignee: Newport Fab, LLC
    Inventors: Dieter Dornisch, Kenneth M. Ring, Tinghao F. Wang, David Howard, Guangming Li
  • Patent number: 7268382
    Abstract: The invention includes a method of forming a rugged semiconductor-containing surface. A first semiconductor layer is formed over a substrate, and a second semiconductor layer is formed over the first semiconductor layer. Subsequently, a third semiconductor layer is formed over the second semiconductor layer, and semiconductor-containing seeds are formed over the third semiconductor layer. The seeds are annealed to form the rugged semiconductor-containing surface. The first, second and third semiconductor layers are part of a common stack, and can be together utilized within a storage node of a capacitor construction. The invention also includes semiconductor structures comprising rugged surfaces. The rugged surfaces can be, for example, rugged silicon.
    Type: Grant
    Filed: June 7, 2006
    Date of Patent: September 11, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Shenlin Chen, Trung Tri Doan, Guy T. Blalock, Lyle D. Breiner, Er-Xuan Ping
  • Patent number: 7262453
    Abstract: For forming stacked capacitors, an opening is formed through at least one semiconductor material. A lower electrode material is patterned within the opening to form a plurality of lower electrodes within the opening. The stacked capacitors are formed with the lower electrodes within the opening by depositing a capacitor dielectric and an upper electrode within the opening. With such a relatively large opening, a capacitor dielectric of the stacked capacitors is deposited with a large thickness for improving reliability of the stacked capacitors.
    Type: Grant
    Filed: July 31, 2006
    Date of Patent: August 28, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Heung-Jin Joo
  • Patent number: 7256437
    Abstract: The upper electrode of a capacitor is constituted of laminated films which act to prevent hydrogen atoms from reaching the capacitor electrodes and degrading performance. In one example, a four layer upper electrode respectively acts as a Schottky barrier layer, a hydrogen diffusion preventing layer, a reaction preventing layer, and an adsorption inhibiting layer. Therefore, the occurrence of a capacitance drop, imperfect insulation, and electrode peeling in the semiconductor device due to a reducing atmosphere can be prevented. In addition, the long-term reliability of the device can be improved.
    Type: Grant
    Filed: August 18, 2004
    Date of Patent: August 14, 2007
    Assignee: Renesas Technology Corp.
    Inventors: Hiroshi Miki, Keiko Kushida, Yasuhiro Shimamoto, Shinichiro Takatani, Yoshihisa Fujisaki, Hiromi Nakai
  • Patent number: 7253463
    Abstract: A semiconductor memory device includes a semi-conductor substrate, a MOS transistor formed on the semiconductor substrate and including a pair of impurity regions as a source and a drain, and a gate electrode, a first conductive plug formed in contact with an upper surface of one of the pair of impurity regions, and a planar ferroelectric capacitor formed by stacking a lower electrode layer, a ferroelectric layer and an upper electrode layer on the first conductive plug, a side face upper end of the first conductive plug being aligned with a corresponding part of a side face of the ferroelectric capacitor.
    Type: Grant
    Filed: February 28, 2005
    Date of Patent: August 7, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Yuki Yamada
  • Patent number: 7250649
    Abstract: A capacitor of a memory device, and a method of fabricating the same, includes a lower electrode electrically coupled to a doping region of a transistor structure, the lower electrode having a metal electrode and a metal oxide electrode, a ferroelectric layer covering and extending laterally along the lower electrode, and an upper electrode formed on the ferroelectric layer.
    Type: Grant
    Filed: May 3, 2005
    Date of Patent: July 31, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-soo Park, Jung-hyun Lee, Choong-rae Cho, June-mo Koo, Suk-pil Kim, Sang-min Shin
  • Patent number: 7247904
    Abstract: A circuit provides an inhibition to the short circuit between the bit line and the capacitance contact, without employing a self alignment contact (SAC) process. A hard mask is formed on the bit line upper surface and a side wall formed on the side surface of the bit line by etching back a nitride film. A bit contact interlayer film without the SAC structure is etched off except where bit line is formed. A direct nitride film is formed on the entire top and side surface of the bit line so as to cover the bit line in one processing step. Since the upper and side nitride film thicknesses are substantially the same, the height of the bit line can be reduced, enabling further miniaturization. In addition, since the sidewall nitride film is formed without an etch back process, it can more easily be formed with a constant film thickness.
    Type: Grant
    Filed: April 24, 2006
    Date of Patent: July 24, 2007
    Assignee: NEC Electronics Corporation
    Inventors: Tomoko Inoue, Ken Inoue
  • Patent number: 7244648
    Abstract: The invention encompasses a method of forming a silicon nitride layer. A substrate is provided which comprises a first mass and a second mass. The first mass comprises silicon and the second mass comprises silicon oxide. A sacrificial layer is formed over the first mass. While the sacrificial layer is over the first mass, a nitrogen-containing material is formed across the second mass. After the nitrogen-containing material is formed, the sacrificial layer is removed. Subsequently, a silicon nitride layer is formed to extend across the first and second masses, with the silicon nitride layer being over the nitrogen-containing material. Also, a conductivity-enhancing dopant is provided within the first mass. The invention also pertains to methods of forming capacitor constructions.
    Type: Grant
    Filed: March 13, 2006
    Date of Patent: July 17, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Er-Xuan Ping, Zhiping Yin
  • Patent number: 7244982
    Abstract: A semiconductor device has a capacitive element including a first conductive film formed on the bottom and wall surfaces of an opening formed in an insulating film on a substrate, a dielectric film formed on the first conductive film, and a second conductive film formed on the dielectric film. The dielectric film of the capacitive element is crystallized. The first and second conductive films are made of a polycrystal of an oxide, a nitride or an oxynitride of a noble metal.
    Type: Grant
    Filed: July 18, 2006
    Date of Patent: July 17, 2007
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Shinya Natsume, Shinichiro Hayashi
  • Patent number: 7232735
    Abstract: A semiconductor device according to the present invention includes a cylindrical capacitor. An amorphous silicon layer serving as a lower electrode of the cylindrical capacitor has a two-layer structure including a lower high-concentration impurity sublayer and an upper low-concentration impurity sublayer. The blockage of a cylinder is prevented by etching the upper low-concentration impurity sublayer in a lower region of the cylinder and thereby reducing the crystal grain size of hemispherical silicon grains formed in the lower region.
    Type: Grant
    Filed: November 30, 2005
    Date of Patent: June 19, 2007
    Assignee: Elpida Memory Inc.
    Inventor: Masahiko Ohuchi
  • Patent number: 7230300
    Abstract: Conventional power MOSFETs enables prevention of an inversion in a surrounding region surrounding the outer periphery of an element region by a wide annular layer and a wide sealed metal. Since, resultantly, the area of the surrounding region is large, increase in the element region has been restrained. A semiconductor device is hereby provided which has an inversion prevention region containing an MIS (MOS) structure. The width of polysilicon for the inversion prevention region is large enough to prevent an inversion since the area of an oxide film can be increased by the depth of the trench. By this, leakage current can be reduced even though the area of the region surrounding the outer periphery of the element region is not enlarged. In addition, since the element region is enlarged, on-state resistance of the MOSFET can be reduced.
    Type: Grant
    Filed: August 31, 2004
    Date of Patent: June 12, 2007
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Masahito Onda, Hirotoshi Kubo, Shouji Miyahara, Hiroyasu Ishida
  • Patent number: 7230292
    Abstract: A process of making a stud capacitor structure is disclosed. The process includes embedding the stud in a dielectric stack. In one embodiment, the process includes forming an electrically conductive seed film in a contact corridor of the dielectric stack. A storage cell stud is also disclosed. The storage cell stud can be employed in a dynamic random-access memory device. An electrical system is also disclosed that includes the storage cell stud.
    Type: Grant
    Filed: August 5, 2003
    Date of Patent: June 12, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Thomas M. Graettinger
  • Patent number: 7227212
    Abstract: In one embodiment, a sacrificial layer is deposited over a base layer. The sacrificial layer is used to define a subsequently formed floating metal structure. The floating metal structure may be anchored into the base layer. Once the floating metal structure is formed, the sacrificial layer surrounding the floating metal structure is etched to create a unity-k dielectric region separating the floating metal structure from the base layer. The unity-k dielectric region also separates the floating metal structure from another floating metal structure. In one embodiment, a noble gas fluoride such as xenon difluoride is used to etch a sacrificial layer of polycrystalline silicon.
    Type: Grant
    Filed: December 23, 2004
    Date of Patent: June 5, 2007
    Assignee: Cypress Semiconductor Corporation
    Inventors: Mira Ben-Tzur, Krishnaswamy Ramkumar, James Hunter, Thurman J. Rodgers, Mike Bruner, Klyoko Ikeuchi
  • Patent number: 7226837
    Abstract: A semiconductor device comprises: a lower contact electrode 1; an adhesion improving layer 3 formed on the lower contact electrode 1; and a capacitor including a lower electrode 4 in a projected structure formed on the adhesion improving layer 3, a capacitor dielectric film 5 formed on the lower electrode 4, and an upper electrode 6 formed on the capacitor dielectric film 5, in which a gap is formed on a sidewall of the adhesion improving layer 3. The gap is at least partially left as a cavity 7. The gap insulates the upper electrode 6 and the adhesion improving layer 3 by the cavity 7.
    Type: Grant
    Filed: August 11, 2005
    Date of Patent: June 5, 2007
    Assignee: Fujitsu Limited
    Inventor: Shunji Nakamura
  • Patent number: 7220287
    Abstract: Exemplary techniques for tuning the effective capacitance provided by an embedded capacitor are disclosed. The techniques may be realized by modifying one or more conductive features of one or more vias connected to the embedded capacitor to adjust the capacitance contributed by the one or more vias. One technique preferably includes altering the conductive surface area of a pad of one or more vias to which the embedded capacitor is electrically connected to increase or decrease the contributed capacitance. Another technique provides for bore drilling or tap drilling one or more vias connected to the embedded capacitor to increase the surface area of plated interior surfaces of the vias, thereby increasing their capacitive effect. An additional technique includes forming a number of vias having various capacitive effects and electrically connecting the embedded capacitor to one or more of these vias to increase the capacitance.
    Type: Grant
    Filed: September 3, 2003
    Date of Patent: May 22, 2007
    Assignee: Nortel Networks Limited
    Inventors: Aneta Wyrzykowska, Herman Kwong
  • Patent number: 7221013
    Abstract: A semiconductor device includes: an insulating underlying layer of which surface portion has a concave portion; a lower electrode formed on the underlying layer along the inner face of the concave portion; a capacitor insulating film formed on the lower electrode and made of a high-dielectric or a ferroelectric subjected to thermal treatment for crystallization; and an upper electrode formed on the capacitor insulating film. The lower electrode and the upper electrode are made of a material that generates tensile stress in the thermal treatment for the capacitor insulating film, and the upper end part of the side wall and the corner part at the bottom face of the concave portion of the underlying layer are rounded.
    Type: Grant
    Filed: August 12, 2004
    Date of Patent: May 22, 2007
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Satoru Goto, Yoshihisa Nagano
  • Patent number: 7217970
    Abstract: Methods for forming platinum-iridium films, particularly in the manufacture of a semiconductor device, and devices (e.g., capacitors, integrated circuit devices, and memory cells) containing such films.
    Type: Grant
    Filed: August 6, 2004
    Date of Patent: May 15, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Eugene P. Marsh
  • Patent number: 7217615
    Abstract: A capacitor fabrication method may include atomic layer depositing a conductive barrier layer to oxygen diffusion over the first electrode. A method may instead include chemisorbing a layer of a first precursor at least one monolayer thick over the first electrode and chemisorbing a layer of a second precursor at least one monolayer thick on the first precursor layer, a chemisorption product of the first and second precursor layers being comprised by a layer of a conductive barrier material. The barrier layer may be sufficiently thick and dense to reduce oxidation of the first electrode by oxygen diffusion from over the barrier layer. An alternative method may include forming a first capacitor electrode over a substrate, the first electrode having an inner surface area per unit area and an outer surface area per unit area that are both greater than an outer surface area per unit area of the substrate. A capacitor dielectric layer and a second capacitor electrode may be formed over the dielectric layer.
    Type: Grant
    Filed: August 31, 2000
    Date of Patent: May 15, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Garo J. Derderian, Gurtej S. Sandhu
  • Patent number: 7205599
    Abstract: A capacitor formed by a process using only two deposition steps and a dielectric formed by oxidizing a metal layer in an electrolytic solution. The capacitor has first and second conductive plates and a dielectric is formed from the first conductive plate.
    Type: Grant
    Filed: December 22, 1999
    Date of Patent: April 17, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Karl M. Robinson
  • Patent number: 7202519
    Abstract: Fabrication of memory cell capacitors in an over/under configuration facilitates increased capacitance values for a given die area. A pair of memory cells sharing a bit-line contact include a first capacitor below the substrate surface. The pair of memory cells further include a second capacitor such that at least a portion of the second capacitor is underlying the first capacitor. Such memory cell capacitors can thus have increased surface area for a given capacitor height versus memory cell capacitors formed strictly laterally adjacent one another. The memory cell capacitors can be fabricated using silicon-on-insulator (SOI) techniques. The memory cell capacitors are useful for a variety of memory arrays, memory devices and electronic systems.
    Type: Grant
    Filed: June 17, 2004
    Date of Patent: April 10, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Fernando Gonzalez
  • Patent number: 7199415
    Abstract: Container structures for use in integrated circuits and methods of their manufacture. The container structures have a dielectric cap on the top of a conductive container to reduce the risk of container-to-container shorting by insulating against bridging of conductive debris across the tops of adjacent container structures. The container structures are adapted for use in memory cells and apparatus incorporating such memory cells, as well as other integrated circuits.
    Type: Grant
    Filed: August 31, 2004
    Date of Patent: April 3, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej Singh Sandhu, Alan R. Reinberg
  • Patent number: 7195972
    Abstract: A trench capacitor DRAM cell in an SOI wafer uses the silicon device layer in the array as part of passing wordlines, stripping the silicon device layer in the array outside the wordlines and uses the BOX layer as the array top oxide separating the passing wordlines from the substrate.
    Type: Grant
    Filed: July 6, 2004
    Date of Patent: March 27, 2007
    Assignee: International Business Machines Corporation
    Inventors: Dureseti Chidambarrao, Ramachandra Divakaruni, Deok-kee Kim
  • Patent number: 7192788
    Abstract: The present invention intends to provide a technique that can improve the capacitance density while securing the withstand voltage of a capacitor element. In order to achieve the above object, the present inventive manufacturing method of a semiconductor device includes forming a metal film on a silicon oxide film, forming a SiN film on the metal film, forming a metal film on the SiN film, etching the upper most metal film with a photoresist film as a mask to form an upper electrode, thereafter forming a silicon oxide film that covers the upper electrode, patterning by etching the silicon oxide film and the SiN film with a photoresist film as a mask to form a capacitor insulating film and sputter-etching the lowermost metal film with the patterned silicon oxide film as a mask to form a lower electrode.
    Type: Grant
    Filed: December 9, 2004
    Date of Patent: March 20, 2007
    Assignee: Renesas Technology Corp.
    Inventor: Atsushi Kurokawa
  • Patent number: 7190014
    Abstract: A vertically-stacked interdigital plate capacitor structure includes at least a first conductive plate, at least a second conductive plate parallel to the first conductive plate, and an inter-metal dielectric layer disposed between the first conductive plate and the second conductive plate. The first conductive plate includes a plurality of first conductive bars vertically stacked, each first conductive bar is electrically connected to the first conductive bar positioned thereunder by a plurality of first conductive vias, and each first conductive via has a rectangular shape. The second conductive plate includes a plurality of second conductive bars vertically stacked, each second conductive bar is electrically connected to the second conductive bar positioned thereunder by a plurality of second conductive vias, each second conductive via has a rectangular shape, and each second conductive via is corresponding to and parallel to each first conductive via.
    Type: Grant
    Filed: December 1, 2004
    Date of Patent: March 13, 2007
    Assignee: United Microelectronics Corp.
    Inventor: Ching-Hung Kao
  • Patent number: 7189613
    Abstract: A process for integrally fabricating a memory cell capacitor and a logic device is disclosed. A first conductive layer and second conductive layer are formed above a semiconductor substrate with a logic region and memory cell region. A first photoresist layer is formed to cover the logic region, and expose an inter-metal dielectric layer adjacent to the second conductive layer in the memory cell region. The exposed inter-metal dielectric layer is etched off to form an opening adjacent to the second conductive layer. A capacitor dielectric layer and third conductive layer are formed on inner walls of the opening to constitute a metal-insulator-metal capacitor.
    Type: Grant
    Filed: February 23, 2005
    Date of Patent: March 13, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Kuo-Chi Tu