Insulated Gate Field Effect Transistors Of Different Threshold Voltages In Same Integrated Circuit (e.g., Enhancement And Depletion Mode) Patents (Class 257/392)
  • Publication number: 20090050978
    Abstract: A disclosed semiconductor device includes a driver transistor including a source and a drain of a second conductive type provided with an interval therebetween in a semiconductor substrate of a first conductive type, a gate electrode extending in a predetermined direction and provided on the semiconductor substrate via a gate insulating film between the source and the drain, plural insular back gate diffusion layers of the first conductive type provided in the source so as to be in contact with the semiconductor substrate, wherein the back gate diffusion layers are spaced apart and arranged in the predetermined direction in the source, and a contact hole extending in the predetermined direction on the source and at least one of the back gate diffusion layers.
    Type: Application
    Filed: March 12, 2007
    Publication date: February 26, 2009
    Inventor: Naohiro Ueda
  • Patent number: 7495295
    Abstract: In a semiconductor device according to the present invention, the power source voltage Vdd1 of a core transistor Tr1, the power source voltage Vdd2 of an I/O transistor Tr2, and the power source voltage Vdd3 of an I/O transistor Tr3 satisfy Vdd1<Vdd2<Vdd3. In a method for fabricating the semiconductor device, each of the respective gate insulating films of the I/O transistors Tr2 and Tr3 is formed in the same step to have the same thickness. Each of the respective SD extension regions of the core transistor Tr1 and the I/O transistor Tr2 is formed at the same dose.
    Type: Grant
    Filed: October 27, 2005
    Date of Patent: February 24, 2009
    Assignee: Panasonic Corporation
    Inventors: Kentaro Nakanishi, Isao Miyanaga, Atsuhiro Kajiya
  • Publication number: 20090039444
    Abstract: A semiconductor device includes a semiconductor substrate including an upper surface having a first region including a pair of first impurity diffusion regions and a first channel region located between the impurity diffusion regions and a second region including a recess having a predetermined depth relative to the upper surface, a first gate insulating film, a first gate electrode of a first transistor supplying a first voltage, a second gate insulating film having a second thickness larger than a first thickness of the first gate insulating film, an upper surface of the second gate insulating film located at a same level as an upper surface of the first gate insulating film, and a second gate electrode of a second transistor supplying a second voltage being higher than the first voltage.
    Type: Application
    Filed: July 28, 2008
    Publication date: February 12, 2009
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Atsuhiro SUZUKI
  • Publication number: 20090039445
    Abstract: MOSFET gate structures comprising multiple width offset spacers are provided. A first and a second gate structure are formed on a semiconductor substrate. A pair of first offset spacers are formed adjacent either side of the first gate structure. Each of the first offset spacers comprises a first silicon oxide layer with a first dielectric layer overlying. A pair of second offset spacers are formed adjacent either side of the second gate structure. Each of the second offset spacers comprises a second silicon oxide layer with a second dielectric layer overlying. Ion implanted doped regions are formed in the semiconductor substrate adjacent the first and second offset spacers respectively to form a first and second MOSFET device. A maximum width of each of the first offset spacers is different from that of the second offset spacers. The first silicon oxide layer is thinner than the second silicon oxide layer.
    Type: Application
    Filed: October 17, 2008
    Publication date: February 12, 2009
    Inventor: Shien-Yang WU
  • Patent number: 7482628
    Abstract: An array substrate for an LCD device includes a first TFT including a first semiconductor layer, a first gate electrode, wherein the first gate electrode is directly over the first semiconductor layer; a first protrusion extending from the first gate electrode along an edge of the first semiconductor layer; a second TFT including a second semiconductor layer, a second gate electrode, wherein the second gate electrode is directly over the second semiconductor layer; a second protrusion extending from the second gate electrode along an edge of the second semiconductor layer; a third TFT connected to crossed data and gate lines including a third semiconductor layer, a third gate electrode, wherein the third gate electrode is directly over the third semiconductor layer; a third protrusion extending from the third gate electrode along an edge of the third semiconductor layer; and a pixel electrode.
    Type: Grant
    Filed: June 9, 2006
    Date of Patent: January 27, 2009
    Assignee: LG Display Co., Ltd.
    Inventors: Su Hyuk Kang, Dai Yun Lee, Yong In Park, Young Joo Kim
  • Publication number: 20090014812
    Abstract: Disclosed herein is a semiconductor device, including: a first group of transistors formed on a semiconductor substrate; and a second group of transistors formed on the semiconductor substrate, each of which is lower in operating voltage than each of the transistors in the first group; wherein each of the transistors in the first group includes a first gate electrode formed on the semiconductor substrate through a first gate insulating film, and a silicide layer formed on the first gate electrode; each of the transistors in the second group includes a second gate electrode formed in a trench for gate formation, formed in an insulating film above the semiconductor substrate, through a second gate insulating film; and a protective film is formed so as to cover the silicide layer on each of the first gate electrodes of the first group of transistors.
    Type: Application
    Filed: July 7, 2008
    Publication date: January 15, 2009
    Applicant: SONY CORPORATION
    Inventors: Junli Wang, Tomoyuki Hirano, Toyotaka Kataoka, Yoshiya Hagimoto
  • Publication number: 20080315324
    Abstract: The present invention, in one aspect, provides a method of manufacturing a microelectronics device 100 that includes depositing a first gate dielectric layer 160 over a substrate 115, subjecting the first gate dielectric layer 160 to a first nitridation process, forming a second gate dielectric layer 165 over the substrate 115 and having a thickness less than a thickness of the first gate dielectric layer 160, and subjecting the first and second gate dielectric layers 160, 165 to a second nitridation process, wherein the first and second nitridation processes are different. The present invention also provides a microelectronics device 100 fabricated in accordance with the method.
    Type: Application
    Filed: September 4, 2008
    Publication date: December 25, 2008
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: AJITH VARGHESE, REIMA T. LAAKSONEN, TERRENCE J. RILEY
  • Publication number: 20080296701
    Abstract: A one-time programmable read-only memory (OTP-ROM) including a substrate, a first doped region, a second doped region, a gate dielectric layer, a first gate and a second gate. The substrate is of a first conductive type. The first doped region and the second doped region are of a second conductive type and are separately disposed in the substrate. The gate dielectric layer is disposed on the substrate between the first doped region and the second doped region. The first gate and the second gate are disposed on the gate dielectric layer, respectively. The first gate is adjacent to the first doped region, while the second gate is adjacent to the second doped region. Here, the first gate is electrically coupled grounded, and the OTP-ROM is programmed through a breakdown effect.
    Type: Application
    Filed: December 14, 2007
    Publication date: December 4, 2008
    Applicant: eMemory Technology Inc.
    Inventors: Tsung-Mu Lai, Shao-Chang Huang, Wen-hao Ching, Chun-Hung Lu, Shih-Chen Wang, Ming-Chou Ho
  • Patent number: 7449728
    Abstract: A depletion mode (D-mode) field effect transistor (FET) is monolithically integrated with an enhancement mode (E-mode) FET in a multi-layer structure. The multi-layer structure includes a channel layer overlaid by a barrier layer overlaid by an ohmic contact layer. Source and drain contacts of the D-mode and E-mode FETs are coupled to the ohmic contact layer. A gate contact of the D-mode and E-mode FETs is coupled to the barrier layer. An amorphized region is provided beneath the E-mode gate contact within the barrier layer. The amorphized region forms a buried E-mode Schottky contact with the barrier layer. An alternative embodiment couples the gate contact of the D-mode transistor to a first layer that overlies the barrier layer, and provides a similar D-mode amorphized region within the first layer.
    Type: Grant
    Filed: November 24, 2003
    Date of Patent: November 11, 2008
    Assignee: Tri Quint Semiconductor, Inc.
    Inventor: Walter Anthony Wohlmuth
  • Publication number: 20080265336
    Abstract: A method for manufacturing a semiconductor device. The method comprises forming a dielectric layer. Forming the dielectric layer includes depositing a silicon oxide layer on a semiconductor substrate, nitridating the silicon oxide layer to form a nitrided silicon oxide layer and incorporating lanthanide atoms into the nitrided silicon oxide layer to form a lanthanide silicon oxynitride layer.
    Type: Application
    Filed: April 27, 2007
    Publication date: October 30, 2008
    Applicant: Texas Instruments Incorporated
    Inventors: Manfred Ramin, Michael F. Pas, Husam Alshareef
  • Publication number: 20080265337
    Abstract: A semiconductor device fabrication method for forming a gate insulating film of a low leakage transistor and a gate insulating film of a high performance transistor. A first SiON film is formed over a Si substrate through first film formation. The first SiON film is left where the low leakage transistor is to be formed, and is removed where the high performance transistor is to be formed. Through second film formation, a second SiON film is formed where the first SiON film is removed, and a third SiON film including the first SiON film is formed where the first SiON film is left. The formed first SiON film has thickness and nitrogen concentration so that the third SiON film has thickness and nitrogen concentration to be the gate insulting film of the low leakage transistor.
    Type: Application
    Filed: July 9, 2008
    Publication date: October 30, 2008
    Applicant: FUJITSU LIMITED
    Inventor: Hiroshi MINAKATA
  • Patent number: 7443224
    Abstract: On a chip 50A, disposed are macro cell 20A not including a virtual power supply line and a leak-current-shielding MOS transistor of a high threshold voltage, and a leak-current-shielding MOS transistor cell 51 of the high threshold voltage. The transistor cell 51 has a gate line 51G which is coincident with the longitudinal direction of the cell, is disposed along a side of a rectangular cell frame of the macro cell 20A, and has a drain region 51D connected to VDD pads 60 and 61 for external connection, the gate line 51G connected to an I/O cell 73 and a source region 51S connected to a VDD terminal of the macro cell 20A. This VDD terminal functions as a terminal of a virtual power supply line V_VDD.
    Type: Grant
    Filed: April 4, 2005
    Date of Patent: October 28, 2008
    Assignee: Fujitsu Limited
    Inventor: Satoru Miyagi
  • Publication number: 20080258236
    Abstract: With the objective of suppressing or preventing a kink effect in the operation of a semiconductor device having a high breakdown voltage field effect transistor, n+ type semiconductor regions, each having a conduction type opposite to p+ type semiconductor regions for a source and drain of a high breakdown voltage pMIS, are disposed in a boundary region between each of trench type isolation portions at both ends, in a gate width direction, of a channel region of the high breakdown voltage pMIS and a semiconductor substrate at positions spaced away from p? type semiconductor regions, each having a field relaxing function, of the high breakdown voltage pMIS, so as not to contact the p? type semiconductor regions (on the drain side, in particular). The n+ type semiconductor regions extend to positions deeper than the trench type isolation portions.
    Type: Application
    Filed: July 11, 2007
    Publication date: October 23, 2008
    Inventors: Hideki Yasuoka, Keiichi Yoshizumi, Masami Koketsu
  • Patent number: 7439140
    Abstract: Wells are formed in a substrate where standard Vt and low Vt devices of both a first and second type are to be fabricated. Wells defining the locations of first type standard Vt devices are masked, and a first voltage threshold implant adjustment is performed within wells defining the second type standard Vt devices, and each of the first and second type low Vt devices. Wells that define the locations of second type standard Vt devices are masked, and a second voltage threshold implant adjustment is performed to the wells defining the first type standard Vt devices, and each of the first and second type low Vt devices. Doped polysilicon gate stacks are then formed over the wells. Performance characteristics and control of each device Vt is controlled by regulating at least one of the first and second voltage threshold implant adjustments, and the polysilicon gate stack doping.
    Type: Grant
    Filed: December 4, 2006
    Date of Patent: October 21, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Mark Helm, Xianfeng Zhou
  • Patent number: 7435652
    Abstract: Multiple integration schemes for manufacturing dual gate semiconductor structures are disclosed. By employing the novel integration schemes, polysilicon gate MOSFETs and high-k dielectric metal gate MOSFETs are formed on the same semiconductor substrate despite differences in the composition of the gate stack and resulting differences in the etch rates. A thin polysilicon layer is used for one type of gate electrodes and a silicon-containing layer are used for the other type of gate electrodes in these integration schemes to balance the different etch rates and to enable etching of the two different gate stacks.
    Type: Grant
    Filed: March 30, 2007
    Date of Patent: October 14, 2008
    Assignee: International Business Machines Corporation
    Inventors: Tze-chiang Chen, Bruce B. Doris, Rangarajan Jagannathan, Hongwen Yan, Qingyun Yang, Ying Zhang
  • Publication number: 20080246094
    Abstract: A semiconductor device includes a semiconductor substrate; a gate dielectric layer disposed on the semiconductor substrate; a gate conductive layer doped with impurities selected from nitrogen, carbon, silicon, germanium, fluorine, oxygen, helium, neon, xenon or a combination thereof on the gate dielectric layer; and source/drain doped regions formed adjacent to the gate conductive layer in the semiconductor substrate, wherein the source and drain doped regions are substantially free of the impurities doped into the gate conductive layer. These impurities reduce the diffusion rates of the N-type of P-type dopants in the gate conductive layer, thereby improving the device performance.
    Type: Application
    Filed: April 4, 2007
    Publication date: October 9, 2008
    Inventors: Jhon Jhy Liaw, Chih-Hung Hsieh
  • Publication number: 20080230850
    Abstract: A method of manufacturing a semiconductor device has forming a first mask pattern exposing a region for forming a first transistor and a region for forming a second transistor, performing a first ion implantation using the first mask pattern, performing a second ion implantation using the first mask pattern, removing the first mask pattern and forming a second mask pattern in which the first transistor forming region is covered and the second transistor forming region is opened, and performing a third ion implantation using the second mask pattern.
    Type: Application
    Filed: March 20, 2008
    Publication date: September 25, 2008
    Applicant: FUJITSU LIMITED
    Inventor: Yoshihiro TAKAO
  • Patent number: 7427791
    Abstract: The semiconductor integrated circuit device employs on the same silicon substrate a plurality of kinds of MOS transistors with different magnitudes of tunnel current flowing either between the source and gate or between the drain and gate thereof. These MOS transistors include tunnel-current increased MOS transistors at least one of which is for use in constituting a main circuit of the device. The plurality of kinds of MOS transistors also include tunnel-current reduced or depleted MOS transistors at least one of which is for use with a control circuit. This control circuit is inserted between the main circuit and at least one of the two power supply units.
    Type: Grant
    Filed: May 2, 2005
    Date of Patent: September 23, 2008
    Assignee: Renesas Technology Corporation
    Inventors: Nozomu Matsuzaki, Hiroyuki Mizuno, Masashi Horiguchi
  • Publication number: 20080224234
    Abstract: A method for manufacturing a semiconductor device includes: forming a groove in a semiconductor substrate and embedding an element isolation film made of a silicon oxide film in the groove; forming a silicon nitride film on the element isolation film; forming an oxidized silicon nitride film on the surface of the element isolation film through thermal treatment of the element isolation film and the silicon nitride film; and removing the silicon nitride film.
    Type: Application
    Filed: February 27, 2008
    Publication date: September 18, 2008
    Applicant: SEIKO EPSON CORPORATION
    Inventor: Takaoki SASAKI
  • Publication number: 20080217701
    Abstract: An integrated circuit includes a first core circuit and a second core circuits. The first core circuit includes a first MOS device, wherein a first gate dielectric of the first MOS device has a first thickness. The second core circuit includes a second MOS device, wherein a second gate dielectric of the second MOS device has a second thickness less than the first thickness. A first power supply line having a first power supply voltage is connected to the first and the second core circuits a first power supply voltage.
    Type: Application
    Filed: March 7, 2007
    Publication date: September 11, 2008
    Inventor: Jhon-Jhy Liaw
  • Patent number: 7414292
    Abstract: A semiconductor device manufacturing method includes, forming isolation region having an aspect ratio of 1 or more in a semiconductor substrate, forming a gate insulating film, forming a silicon gate electrode and a silicon resistive element, forming side wall spacers on the gate electrode, heavily doping a first active region with phosphorus and a second active region and the resistive element with p-type impurities by ion implantation, forming salicide block at 500° C. or lower, depositing a metal layer covering the salicide block, and selectively forming metal silicide layers. The method may further includes, forming a thick and a thin gate insulating films, and performing implantation of ions of a first conductivity type not penetrating the thick gate insulating film and oblique implantation of ions of the opposite conductivity type penetrating also the thick gate insulating film before the formation of side wall spacers.
    Type: Grant
    Filed: June 16, 2005
    Date of Patent: August 19, 2008
    Assignee: Fujitsu Limited
    Inventors: Taiji Ema, Hedeyuki Kojima, Toru Anezaki
  • Patent number: 7411236
    Abstract: A semiconductor storage device has a first transistor of first conductive type which control data writing, a second transistor of second conductive type which controls data read-out, a third transistor which amplifies a current corresponding to data to be read out, a first semiconductor layer which is disposed in a predetermined direction, in which a gate of the first transistor is formed, a second semiconductor layer which is disposed separately from the first semiconductor layer in the predetermined direction, in which source and drain of the second transistor and source and drain of the third transistor are formed, a write transistor forming region which is disposed in a direction intersecting the first and second semiconductor layers, in which source and drain of the first transistor, a gate of the third transistor and an electric charge storing region storing electric charge in accordance with data to be written are formed, and a read-out transistor gate region which is disposed in a direction intersecti
    Type: Grant
    Filed: July 14, 2006
    Date of Patent: August 12, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Kazuya Matsuzawa
  • Patent number: 7408231
    Abstract: In an integrated circuit device, there are various optimum gate lengths, thickness of gate oxide films, and threshold voltages according to the characteristics of circuits. In a semiconductor integrated circuit device in which the circuits are integrated on the same substrate, the manufacturing process is complicated in order to set the circuits to the optimum values. As a result, in association with deterioration in the yield and increase in the number of manufacturing days, the manufacturing cost increases. In order to solve the problems, according to the invention, transistors of high and low thresholds are used in a logic circuit, a memory cell uses a transistor of the same high threshold voltage and a low threshold voltage transistor, and an input/output circuit uses a transistor having the same high threshold voltage and the same concentration in a channel, and a thicker gate oxide film.
    Type: Grant
    Filed: July 26, 2005
    Date of Patent: August 5, 2008
    Assignee: Renesas Technology Corp.
    Inventors: Koichiro Ishibashi, Kenichi Osada
  • Publication number: 20080180160
    Abstract: A dual gate drain extension field effect transistor assembly comprises a first FET device having a source, a gate and a drain extension region. The first FET device's gate is electrically coupled to a constant voltage source. A second FET device has a source, a drain, and a gate, and the second FET's drain is electrically to the first FET's source.
    Type: Application
    Filed: January 31, 2007
    Publication date: July 31, 2008
    Applicant: INFINEON TECHNOLOGIES AG
    Inventor: Andreas Augustin
  • Patent number: 7402873
    Abstract: A method for manufacturing a semiconductor integrated circuit device including a first field effect transistor having a gate insulating film formed over a first element forming region of a main surface of a semiconductor substrate; and a second field effect transistor having a gate insulating film formed over a second element forming region of the main surface of the semiconductor substrate and made thinner than the gate insulating film of the first field effect transistor.
    Type: Grant
    Filed: July 6, 2007
    Date of Patent: July 22, 2008
    Assignee: Renesas Technology Corp.
    Inventors: Shoji Shukuri, Norio Suzuki, Yasuhiro Taniguchi
  • Patent number: 7402474
    Abstract: A method of manufacturing a semiconductor device comprises the following steps: a step of depositing a silicon oxide film on the top surface of an epitaxial layer of the region where a high withstand voltage MOS transistor is formed; a step of subsequently depositing a silicon oxide film on the top surface of the epitaxial layer according to the thickness of a gate oxide film of a low withstand voltage MOS transistor; and a step of subsequently adjusting the thickness of the silicon oxide film on the top surface of the high withstand voltage MOS transistor by etching and forming a P-type diffusion layer by ion-implantation method. This method can manufacture elements having gate oxide films different in thickness at low cost.
    Type: Grant
    Filed: September 23, 2005
    Date of Patent: July 22, 2008
    Assignee: Sanyo Electric Co., Ltd.
    Inventor: Takashi Ogura
  • Patent number: 7394307
    Abstract: A voltage regulator having a MOS transistor driver includes a p-channel MOS transistor at a voltage input terminal Vin and a p-channel MOS transistor at a voltage output terminal Vout. A drain of the input side p-channel MOS transistor is connected to the voltage input terminal Vin. A threshold voltage or a voltage lower than the threshold voltage is applied to a gate of the input side p-channel MOS transistor. A drain of the output side p-channel MOS transistor is connected to the voltage output terminal Vout. A current flowing through the input side p-channel MOS transistor drives a voltage regulator circuit and the output side p-channel MOS transistor.
    Type: Grant
    Filed: December 22, 2005
    Date of Patent: July 1, 2008
    Assignee: Ricoh Company, Ltd.
    Inventors: Takaaki Negoro, Koichi Morino
  • Patent number: 7391083
    Abstract: A method of manufacturing a semiconductor integrated circuit device having on the same substrate both a high breakdown voltage MISFET and a low breakdown voltage MISFET is provided. An element isolation trench is formed in advance so that the width thereof is larger than the sum of the thickness of a polycrystalline silicon film serving as a gate electrode of a low breakdown voltage, the thickness of a gate insulating film and an alignment allowance in processing of a gate electrode in a direction orthogonal to the extending direction of the gate electrode and is larger than the thickness of the polycrystalline silicon film in a planar region not overlapping the gate electrode. It is possible to decrease the number of manufacturing steps for the semiconductor integrated circuit device.
    Type: Grant
    Filed: April 18, 2006
    Date of Patent: June 24, 2008
    Assignee: Renesas Technology Corp.
    Inventors: Kunihiko Kato, Masami Koketsu, Shigeya Toyokawa, Keiichi Yoshizumi, Hideki Yasuoka, Yasuhiro Takeda
  • Patent number: 7391063
    Abstract: A display device has C-MOS p-Si TFTs which enable high integration by reducing spaces for P-MOS TFTs and N-MOS TFTs in a driving circuit or the like thereof. A self-aligned C-MOS process is adopted, which uses a half tone mask as an exposure mask for manufacturing the C-MOS p-Si TFTs mounted on the display device. With the use of the half tone mask, the alignment or positioning at a bonding portion between a P-MOS portion and an N-MOS portion becomes unnecessary, and, hence, the number of photolithography steps can be reduced and high integration of C-MOS TFT circuits can be realized.
    Type: Grant
    Filed: August 31, 2005
    Date of Patent: June 24, 2008
    Assignee: Hitachi Displays, Ltd.
    Inventors: Daisuke Sonoda, Toshiki Kaneko
  • Publication number: 20080142906
    Abstract: A semiconductor device comprising: a semiconductor layer including an element formation region, and first and second spaced apart isolation regions; an element in the element formation region; an interlayer dielectric layer above the semiconductor layer; an electrode pad above the interlayer dielectric layer; a passivation layer above the electrode pad and having an opening which exposes part of the electrode pad; and a bump in the opening and covering part of the element when viewed from a top side, the bump including a first edge when viewed from the top side, the first isolation region being formed in a first region, the first region including a first specific distance outward from a first line directly below the first edge of the bump, the second isolation region being formed in a second region, the second region including a second specific distance inward from the first line.
    Type: Application
    Filed: February 15, 2008
    Publication date: June 19, 2008
    Inventors: Akinori Shindo, Masatoshi Tagaki, Hideaki Kurita
  • Publication number: 20080142905
    Abstract: A semiconductor device including: a semiconductor layer including an element formation region including an element; a dielectric layer above the semiconductor; an electrode pad above the dielectric; a passivation layer above the pad and having an opening exposing part of the pad; and a bump in the opening and covering part of the element, the bump including first, second, third and fourth edges, the semiconductor having a forbidden region including: a first distance outward from a first line below the first edge, a second distance inward from the first line, a third distance outward from a second line below the second edge, a fourth distance inward from the second line, a fifth distance outward from a third line below the third edge, a sixth distance inward from the third line, a seventh distance outward from a fourth line below the fourth edge, and an eighth distance inward from the fourth line.
    Type: Application
    Filed: February 15, 2008
    Publication date: June 19, 2008
    Inventors: Akinori Shindo, Masatoshi Tagaki, Hideaki Kurita
  • Patent number: 7388262
    Abstract: A rapid thermal nitridation (RTN) process produces a nitrogen concentration gradient in an oxynitride layer to compensate for transistor threshold voltage effects from a thickness gradient in the oxynitride layer. The nitrogen concentration gradient is selected to allow greater dopant penetration through thicker gate dielectrics in PMOS transistors formed using the oxynitride layer. Any increases in threshold voltage due to thicker gate dielectrics are counteracted by corresponding decreases in threshold voltage due to dopant penetration, allowing consistent threshold voltage values to be maintained for all PMOS transistors on a single wafer. The nitrogen concentration gradient can be introduced by regulating the flow of nitrous oxide during RTN processing to cause an accumulation of atomic oxygen to develop within the process chamber.
    Type: Grant
    Filed: November 16, 2004
    Date of Patent: June 17, 2008
    Assignee: Integrated Device Technology, Inc.
    Inventors: Jae-Gyung Ahn, Youngtag Woo
  • Publication number: 20080135948
    Abstract: A method of processing a substrate of a device comprises the as following steps. Form a cap layer over the substrate. Form a dummy layer over the cap layer, the cap layer having a top surface. Etch the dummy layer forming patterned dummy elements of variable widths and exposing sidewalls of the dummy elements and portions of the top surface of the cap layer aside from the dummy elements. Deposit a spacer layer over the device covering the patterned dummy elements and exposed surfaces of the cap layer. Etch back the spacer layer forming sidewall spacers aside from the sidewalls of the patterned dummy elements spaced above a minimum spacing and forming super-wide spacers between sidewalls of the patterned dummy elements spaced less than the minimum spacing. Strip the patterned dummy elements. Expose portions of the substrate aside from the sidewall spacers. Pattern exposed portions of the substrate by etching into the substrate.
    Type: Application
    Filed: February 21, 2008
    Publication date: June 12, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: Haining S. Wang
  • Publication number: 20080135947
    Abstract: An organic inverter and a method of manufacturing the same are provided, which regulates threshold voltages depending on positions when an inverter circuit is manufactured on a substrate using an organic semiconductor. To form a depletion load transistor and an enhancement driver transistor at adjacent positions of the same substrate, the surface of the substrate is selectively treated by positions or selectively applied by self-assembly monolayer treatment. Thus, a D-inverter having a combination of a depletion mode and an enhancement mode is more easily realized than a conventional method using a transistor size effect. Also, the D-inverter can be realized even with the same W/L ratio, thereby increasing integration density. That is, the W/L ratio does not need to be increased to manufacture a depletion load transistor, thereby improving integration density.
    Type: Application
    Filed: October 31, 2007
    Publication date: June 12, 2008
    Inventors: Jae Bon KOO, Kyung Soo SUH, Seong Hyun KIM
  • Patent number: 7381999
    Abstract: A memory device having a thyristor-based storage element and an access device coupled to the thyristor-based storage element at a common storage node is described. The thyristor-based storage element has a first gate stack, where the first gate stack has a first workfunction configured to a base region of the thyristor-based storage element. The access device has a second gate stack, where the second gate stack has a second workfunction. The first gate stack includes a first conductive layer formed over a gate dielectric and a second conductive layer formed over the first conductive layer. The second gate stack includes the second conductive layer formed over the gate dielectric. The first workfunction is operationally distinct from the second workfunction.
    Type: Grant
    Filed: July 21, 2005
    Date of Patent: June 3, 2008
    Assignee: T-Ram Semiconductor, Inc.
    Inventor: Kevin J. Yang
  • Patent number: 7382029
    Abstract: A method for implementing a desired offset in device characteristics of an integrated circuit includes forming a first device of a first conductivity type on a first portion of a substrate having a first crystal lattice orientation, and forming a second device of the first conductivity type on a second portion of the substrate having a second crystal lattice orientation. The carrier mobility of the first device formed on the first crystal lattice orientation is greater than the carrier mobility of the second device formed on the second crystal lattice orientation.
    Type: Grant
    Filed: July 29, 2005
    Date of Patent: June 3, 2008
    Assignee: International Business Machines Corporation
    Inventors: John J. Pekarik, Xudong Wang
  • Publication number: 20080122011
    Abstract: MOSFET gate structures comprising multiple width offset spacers are provided. A first and a second gate structure are formed on a semiconductor substrate. A pair of first offset spacers are formed adjacent either side of the first gate structure. Each of the first offset spacers comprises a first silicon oxide layer with a first dielectric layer overlying. A pair of second offset spacers are formed adjacent either side of the second gate structure. Each of the second offset spacers comprises a second silicon oxide layer with a second dielectric layer overlying. Ion implanted doped regions are formed in the semiconductor substrate adjacent the first and second offset spacers respectively to form a first and second MOSFET device. A maximum width of each of the first offset spacers is different from that of the second offset spacers. The first silicon oxide layer is thinner than the second silicon oxide layer.
    Type: Application
    Filed: November 3, 2006
    Publication date: May 29, 2008
    Inventor: Shien-Yang Wu
  • Publication number: 20080122010
    Abstract: A transistor and related method are disclosed. The transistor may include a gate, a sidewall spacer formed along the gate, and a source/drain region positioned only under the sidewall spacer except for a portion at which a contact is positioned. The transistor may be ultra-low power and sub-threshold voltage or near sub-threshold voltage. The transistor may exhibit at least two times reduction in outer fringe capacitance (Cof).
    Type: Application
    Filed: November 2, 2006
    Publication date: May 29, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Brent A. Anderson, Andres Bryant, William F. Clark, Edward J. Nowak
  • Patent number: 7378714
    Abstract: In a complete depletion type SOI transistor, the roll-off of a threshold value is suppressed, independently from the formation of an SOI film to be thinner. As for a semiconductor device (1), the impurity concentration in a channel formation portion (10) is implanted not uniformly along the length direction of a gate (2) in the complete depletion type silicon on insulation (SOI) transistor. In other words, high concentration regions (11) where impurity concentrations are higher than that at a central portion in the end parts of the channel formation portion (10) on the side of a source (4) and a drain (5).
    Type: Grant
    Filed: February 7, 2002
    Date of Patent: May 27, 2008
    Assignee: Sony Corporation
    Inventor: Hiroshi Komatsu
  • Publication number: 20080116528
    Abstract: A semiconductor device according to the present invention comprises a semiconductor substrate of a first conductive type, a first element region and a second element region provided on the semiconductor substrate, a retrograde well formed from a first impurity of a second conductive type and provided at a deep section, in a thickness direction, of the first element region, an enhanced dope layer formed from a second impurity of the second conductive type and provided at an intermediate section, in a thickness direction, of the first element region, a punch-through control layer formed from a third impurity of the second conductive type and provided at a surface section of the first element region, a second gate insulation film provided on the semiconductor substrate and making contact with the first element region, and a first gate insulation film provided on the semiconductor substrate, making contact with the second element region and having a thickness larger than that of the second gate insulation film, w
    Type: Application
    Filed: November 14, 2007
    Publication date: May 22, 2008
    Inventors: Tsuneichiro SANO, Osamu MATSUI, Shuji TSUJINO
  • Publication number: 20080116527
    Abstract: Methods of improving operational parameters between at least a pair of matched transistors, and a set of transistors, are disclosed. One embodiment of a method includes a method of improving at least one of a threshold voltage (Vt) mismatch and current drive between at least a pair of matched transistors for analog applications, the method comprising: forming at least a pair of transistors, each with a gate having a plurality of connected fingers; and optimizing a total length of a channel under the plurality of fingers to attain at least one of: a) a reduced threshold voltage mismatch between the at least pair of transistors, and b) increased current drive for a given threshold voltage mismatch, between the at least pair of transistors, each finger having a length less than an overall length of the channel.
    Type: Application
    Filed: November 20, 2006
    Publication date: May 22, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Terence B. Hook, Jeffrey B. Johnson, Yoo-Mi Lee
  • Patent number: 7375409
    Abstract: A semiconductor device is provided comprising a supporting substrate, an insulating layer on the substrate, and a first semiconductor layer on the insulating layer. A first high breakdown-voltage transistor is formed in the first semiconductor layer, a second semiconductor layer is formed on the insulating layer and a second high breakdown-voltage transistor is formed in the second semiconductor layer. A first element isolation region reaching the insulating layer is provided between the first and second semiconductor layers. A third semiconductor layer is formed on the insulating layer, a first low breakdown-voltage transistor is formed in the third semiconductor layer, a second low breakdown-voltage transistor is formed in the third semiconductor layer, and a second element isolation region not reaching the insulating layer is formed in the third semiconductor layer between the first and second low breakdown-voltage transistors. The first element isolation region comprises a dual-trench insulating layer.
    Type: Grant
    Filed: July 15, 2004
    Date of Patent: May 20, 2008
    Assignee: Seiko Epson Corporation
    Inventor: Yoko Sato
  • Publication number: 20080111198
    Abstract: A stacked semiconductor device includes a first gate structure formed on a substrate, a first insulating interlayer covering the first gate structure on the substrate, a first active pattern formed through and on the first insulating interlayer and contacting the substrate, a second gate structure formed on the first active pattern and the first insulating interlayer, a buffer layer covering the second gate structure on the first active pattern and the first insulating interlayer, a second insulating interlayer formed on the buffer layer, and a contact plug formed through the first and second insulating interlayers, which contacts with the substrate and is insulated from the second gate structure by the buffer layer. Operation failures of a transistor in the stacked semiconductor device can be reduced because the buffer layer prevents a word line from being electrically connected to the contact plug.
    Type: Application
    Filed: October 29, 2007
    Publication date: May 15, 2008
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Kyung-Tae Jang, Ju-Bum Lee, Jae-Kyo Chung, Heung-Seop Song, Mi-Young Lee
  • Patent number: 7368757
    Abstract: A back electrode 6 is formed in the back of a Si single crystal substrate 2 of a compound semiconductor in which an n-type 3C-SiC single crystal buffer layer 3 having a thickness of 0.05-2 ?m, a carrier concentration of 1016-1021/cm3, a hexagonal InwGaxAl1-w-xN single crystal buffer layer 4 (0?w<1, 0?x<1, w+x<1) having a thickness of 0.01-0.5 ?m, and an n-type hexagonal InyGazAl1-y-zN single crystal layer 5 (0?y<1, 0<z?1, y+z?1) having a thickness of 0.1-5 ?m and a carrier concentration of 1011-1016/cm3 are stacked in order on an n-type Si single crystal substrate top 2 having a crystal-plane orientation {111}, a carrier concentration of 1016-1021/cm3, and a surface electrode 7 is formed on a surface of a hexagonal InyGazAl1-y-zN single crystal layer 5, so as to provide a compound semiconductor device which causes little energy loss and allows an high efficiency and a high breakdown voltage.
    Type: Grant
    Filed: December 12, 2005
    Date of Patent: May 6, 2008
    Assignee: Covalent Materials Corporation
    Inventors: Jun Komiyama, Yoshihisa Abe, Shunichi Suzuki, Hideo Nakanishi
  • Publication number: 20080099856
    Abstract: A method of fabricating a semiconductor device having multiple gate dielectric layers and a semiconductor device fabricated thereby, in which the method includes forming an isolation layer defining first and second active regions in a semiconductor substrate. A passivation layer is formed on the substrate having the isolation layer. A first patterning process is carried out that etches the passivation layer on the first active region to form a first opening exposing the first active region, and a first dielectric layer is formed in the exposed first active region. A second patterning process is carried out, which etches the passivation layer on the second active region to form a second opening exposing the second active region, and a second dielectric layer is formed in the exposed second active region.
    Type: Application
    Filed: October 23, 2007
    Publication date: May 1, 2008
    Inventors: Sung-Gun Kang, Kang-Soo Chu
  • Patent number: 7361932
    Abstract: A semiconductor device of a dual-gate structure including a P-channel type field-effect transistor formed at a first region of a substrate and an N-channel type field-effect transistor formed at a second region of the substrate, includes a gate electrode including a polycrystalline silicon film continuously formed on the substrate to cover the first and second regions and a metal silicide film formed on the polycrystalline silicon film. The polycrystalline silicon film has a P-type part located on the first region and an N-type part coming into contact with the P-type part and located on the second region, and the P-type part is further doped with a heavier element than a P-type impurity that determines a conductivity type of the P-type part.
    Type: Grant
    Filed: June 26, 2006
    Date of Patent: April 22, 2008
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Akihiko Tsuzumitani
  • Patent number: 7355256
    Abstract: A semiconductor device 1 according to the present invention includes a semiconductor substrate 5, a first transistor 10 which is formed on the semiconductor substrate 5 and includes a first gate electrode portion 16 constituted by a first gate insulating film 24 and a first gate electrode 26 having a first gate length L1 which are stacked, and a second transistor 12 which is formed on the semiconductor substrate 5 and includes a second gate electrode portion 20 constituted by a second gate insulating film 32 and a second gate electrode 30 having a second gate length L2 smaller than the first gate length L1, the second gate insulating film 32 and the second gate electrode 30 being stacked, wherein the grain size of poly-silicon grains forming the first gate electrode 26 is greater than the grain size of poly-silicon grains forming the second gate electrode 30.
    Type: Grant
    Filed: April 11, 2006
    Date of Patent: April 8, 2008
    Assignee: NEC Electronics Corporation
    Inventors: Mitsuhiro Togo, Eiji Hasegawa
  • Patent number: 7352035
    Abstract: A flash memory device includes a cell string having a plurality of cell transistors connected in series, and a string selection transistor and a ground selection transistor connected to both ends of the cell string, respectively, wherein the cell transistor has a channel impurity concentration higher than a channel impurity concentration of at least one of the string selection transistor and the ground selection transistor.
    Type: Grant
    Filed: January 31, 2007
    Date of Patent: April 1, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jai-Hyuk Song, Jeong-Hyuk Choi, Ok-Cheon Hong
  • Publication number: 20080067517
    Abstract: A semiconductor device includes a semiconductor substrate including a first region having a cell region and a second region having a peripheral circuit region, first transistors on the semiconductor substrate, a first protective layer covering the first transistors, a first insulation layer on the first protective layer, a semiconductor pattern on the first insulation layer in the first region, second transistors on the semiconductor pattern, a second protective layer covering the second transistors, the second protective layer having a thickness greater than that of the first protective layer, and a second insulation layer on the second protective layer and the first insulation layer of the second region.
    Type: Application
    Filed: January 19, 2007
    Publication date: March 20, 2008
    Inventors: Young-Chul Jang, Won-Seok Cho, Jae-Hoon Jang, Soon-Moon Jung, Yang-Soo Son, Min-Sung Song
  • Patent number: RE40579
    Abstract: An SRAM memory cell having first and second transfer gate transistors. The first transfer gate transistor includes a first source/drain connected to a bit line and the second transfer gate transistor has a first source/drain connected to a complement bit line. Each transfer gate transistor has a gate connected to a word line. The SRAM memory cell also includes first and second pull-down transistors configured as a storage latch. The first pull-down transistor has a first source/drain connected to a second source/drain of said first transfer gate transistor; the second pull-down transistor has a first source/drain connected to a second source/drain of said second transfer gate transistor. Both first and second pull-down transistors have a second source/drain connected to a power supply voltage node.
    Type: Grant
    Filed: October 20, 2000
    Date of Patent: November 25, 2008
    Assignee: STMicroelectronics, Inc.
    Inventors: Frank Randolph Bryant, Tsiu Chiu Chan