Insulated Gate Field Effect Transistors Of Different Threshold Voltages In Same Integrated Circuit (e.g., Enhancement And Depletion Mode) Patents (Class 257/392)
  • Publication number: 20140061814
    Abstract: A semiconductor device comprises: a semiconductor substrate comprising a first region and a second region; and first and second transistors on the first and second regions, respectively, wherein the first transistor comprises a first gate insulating layer pattern, the second transistor comprises a second gate insulating layer pattern, the first and second transistors both comprise a work function adjustment film pattern and a gate metal pattern, wherein the work function adjustment film pattern of the first transistor comprises the same material as the work function adjustment film pattern of the second transistor and the gate metal pattern of the first transistor comprises the same material as gate metal pattern of the second transistor, and a concentration of a metal contained in the first gate insulating layer pattern to adjust a threshold voltage of the first transistor is different from a concentration of the metal contained in the second gate insulating layer pattern to adjust a threshold voltage of the
    Type: Application
    Filed: May 30, 2013
    Publication date: March 6, 2014
    Inventors: Ju-Youn Kim, Shigenobu Maeda, Bong-Seok Kim
  • Patent number: 8664728
    Abstract: A transistor includes a substrate, a well formed in the substrate, a drain including a first impurity region implanted in the well, a source including a second impurity region implanted in the well and spaced apart from the first impurity region, a channel for current flow from the drain to the source, and a gate to control a depletion region between the source and the drain The channel has an intrinsic breakdown voltage, and the well, drain and source are configured to provide an extrinsic breakdown voltage lower than the intrinsic breakdown voltage and such that breakdown occurs in a breakdown region in the well located outside the channel and adjacent the drain or the source.
    Type: Grant
    Filed: January 14, 2009
    Date of Patent: March 4, 2014
    Assignee: Volterra Semiconductor Corporation
    Inventors: Yang Lu, Budong You, Marco A. Zuniga, Hamza Yilmaz
  • Patent number: 8664066
    Abstract: The present disclosure provides manufacturing techniques in which sophisticated high-k metal gate electrode structures may be formed in an early manufacturing stage on the basis of a selectively applied threshold voltage adjusting semiconductor alloy. In order to reduce the surface topography upon patterning the deposition mask while still allowing the usage of well-established epitaxial growth recipes developed for silicon dioxide-based hard mask materials, a silicon nitride base material may be used in combination with a surface treatment. In this manner, the surface of the silicon nitride material may exhibit a silicon dioxide-like behavior, while the patterning of the hard mask may be accomplished on the basis of highly selective etch techniques.
    Type: Grant
    Filed: July 19, 2012
    Date of Patent: March 4, 2014
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Rohit Pal, Stephan-Detlef Kronholz
  • Patent number: 8659084
    Abstract: In one aspect, a CMOS device is provided. The CMOS device includes a SOI wafer having a SOI layer over a BOX; one or more active areas formed in the SOI layer in which one or more FET devices are formed, each of the FET devices having an interfacial oxide on the SOI layer and a gate stack on the interfacial oxide layer, the gate stack having (i) a conformal gate dielectric layer present on a top and sides of the gate stack, (ii) a conformal gate metal layer lining the gate dielectric layer, and (iii) a conformal workfunction setting metal layer lining the conformal gate metal layer. A volume of the conformal gate metal layer and/or a volume of the conformal workfunction setting metal layer present in the gate stack are/is proportional to a length of the gate stack.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: February 25, 2014
    Assignee: International Business Machines Corporation
    Inventors: Josephine B. Chang, Isaac Lauer, Chung-Hsun Lin, Jeffrey W. Sleight
  • Patent number: 8659095
    Abstract: A semiconductor device includes a semiconductor substrate including a first driving transistor region having a first driving transistor disposed therein and a second driving transistor region having a second driving transistor disposed therein, wherein the second driving transistor is driven at a lower voltage than the first driving transistor, a first gate insulating layer formed at edges of the second driving transistor region, and a second gate insulating layer formed at a center of the second driving transistor region, wherein the first gate insulating layer is thicker than the second gate insulating layer.
    Type: Grant
    Filed: July 31, 2012
    Date of Patent: February 25, 2014
    Assignee: SK Hynix Inc.
    Inventor: Tae Gyun Kim
  • Patent number: 8659112
    Abstract: A method of forming an integrated circuit (IC) including a core and a non-core PMOS transistor includes forming a non-core gate structure including a gate electrode on a gate dielectric and a core gate structure including a gate electrode on a gate dielectric. The gate dielectric for the non-core gate structure is at least 2 ? of equivalent oxide thickness (EOT) thicker as compared to the gate dielectric for the core gate structure. P-type lightly doped drain (PLDD) implantation including boron establishes source/drain extension regions in the substrate. The PLDD implantation includes selective co-implanting of carbon and nitrogen into the source/drain extension region of the non-core gate structure. Source and drain implantation forms source/drain regions for the non-core and core gate structure, wherein the source/drain regions are distanced from the non-core and core gate structures further than their source/drain extension regions. Source/drain annealing is performed after source and drain implantation.
    Type: Grant
    Filed: December 14, 2010
    Date of Patent: February 25, 2014
    Assignee: Texas Instruments Incorporated
    Inventors: Mahalingam Nandakumar, Amitabh Jain
  • Patent number: 8653607
    Abstract: An integrated circuit, in which a minimum gate length of low-noise NMOS transistors is less than twice a minimum gate length of logic NMOS transistors, is formed by: forming gates of the low-noise NMOS transistors concurrently with gates of the logic NMOS transistors, forming a low-noise NMDD implant mask which exposes the low-noise NMOS transistors and covers the logic NMOS transistors and logic PMOS transistors, ion implanting n-type NMDD dopants and fluorine into the low-noise NMOS transistors and limiting p-type halo dopants to less than 20 percent of a corresponding logic NMOS halo dose, removing the low-noise NMDD implant mask, forming a logic NMDD implant mask which exposes the logic NMOS transistors and covers the low-noise NMOS transistors and logic PMOS transistors, ion implanting n-type NMDD dopants and p-type halo dopants, but not implanting fluorine, into the logic NMOS transistors, and removing the logic NMDD implant mask.
    Type: Grant
    Filed: June 14, 2012
    Date of Patent: February 18, 2014
    Assignee: Texas Instruments Incorporated
    Inventors: Alwin James Tsao, Purushothaman Srinivasan
  • Patent number: 8653605
    Abstract: The work function of a high-k gate electrode structure may be adjusted in a late manufacturing stage on the basis of a lanthanum species in an N-channel transistor, thereby obtaining the desired high work function in combination with a typical conductive barrier material, such as titanium nitride. For this purpose, in some illustrative embodiments, the lanthanum species may be formed directly on the previously provided metal-containing electrode material, while an efficient barrier material may be provided in the P-channel transistor, thereby avoiding undue interaction of the lanthanum species in the P-channel transistor.
    Type: Grant
    Filed: November 30, 2012
    Date of Patent: February 18, 2014
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Richard Carter, Sven Beyer, Joachim Metzger, Robert Binder
  • Patent number: 8653601
    Abstract: This invention provides a current control semiconductor element in which dependence of a sense ratio on a temperature distribution is eliminated and the accuracy of current detection using a sense MOSFET can be improved, and to provide a control device using the current control semiconductor element. The current control semiconductor element 1 includes a main MOSFET 7 that drives a current and a sense MOSFET 8 that is connected to the main MOSFET in parallel and detects a current shunted from a current of the main MOSFET. The main MOSFET is formed using a multi-finger MOSFET that has a plurality of channels and is arranged in a row. When a distance between the center of the multi-finger MOSFET 7 and a channel located farthest from the center of the multi-finger MOSFET 7 is indicated by L, a channel that is located closest to a position distant by a distance of (L/(?3)) from the center of the multi-finger MOSFET is used as a channel for the sense MOSFET 8.
    Type: Grant
    Filed: June 2, 2011
    Date of Patent: February 18, 2014
    Assignee: Hitachi Automotive Systems, Ltd.
    Inventors: Teppei Hirotsu, Nobuyasu Kanekawa, Itaru Tanabe
  • Patent number: 8654579
    Abstract: A non-volatile memory device includes a plurality of memory cells stacked along a channel protruded from a substrate, a first select transistor connected to one end of the plurality of memory cells, a first interlayer dielectric layer for being coupled between a source line and the first select transistor, and a second interlayer dielectric layer disposed between the first select transistor and the one end of the plurality of memory cells, and configured to include a first recess region.
    Type: Grant
    Filed: November 17, 2011
    Date of Patent: February 18, 2014
    Assignee: Hynix Semiconductor Inc.
    Inventors: Beom Yong Kim, Kwon Hong, Kee Jeung Lee, Ki Hong Lee
  • Publication number: 20140042552
    Abstract: Provided is a semiconductor device having an insulating gate field effect transistor equipped with a metal oxide film in a portion, on the side of a source region, between a gate insulating film and a gate electrode. The metal oxide film is provided above a p+ type semiconductor region for punch-through stopper so as to cover the entire region thereof. Such a metal oxide film contributes to a decrease in the impurity concentration of the p+ type semiconductor region, making it possible to reduce variations in the threshold voltage of the transistor. On the side of a drain region, the gate insulating film is formed as a single film without stacking the metal oxide film thereon. As a result, the resulting transistor can escape deterioration in reliability which will otherwise occur due to hot carriers on the side of the end of the drain region.
    Type: Application
    Filed: August 4, 2013
    Publication date: February 13, 2014
    Applicant: Renesas Electronics Corporation
    Inventors: Hiromasa Yoshimori, Toshiaki Iwamatsu
  • Patent number: 8648427
    Abstract: An electronic device, including an integrated circuit, can include a buried conductive region and a semiconductor layer overlying the buried conductive region, wherein the semiconductor layer has a primary surface and an opposing surface lying closer to the buried conductive region. The electronic device can also include a first doped region and a second doped region spaced apart from each other, wherein each is within the semiconductor layer and lies closer to primary surface than to the opposing surface. The electronic device can include current-carrying electrodes of transistors. A current-carrying electrode of a particular transistor includes the first doped region and is a source or an emitter and is electrically connected to the buried conductive region. Another current-carrying electrode of a different transistor includes the second doped region and is a drain or a collector and is electrically connected to the buried conductive region.
    Type: Grant
    Filed: June 14, 2012
    Date of Patent: February 11, 2014
    Assignee: Semiconductor Components Industries, LLC
    Inventors: Gary H. Loechelt, Gordon M. Grivna
  • Patent number: 8643116
    Abstract: A semiconductor device includes a first MISFET and a second MISFET which are formed over a semiconductor substrate and have the same conductive type. The first MISFET has a first gate insulating film arranged over the semiconductor substrate, a first gate electrode arranged over the first gate insulating film, and a first source region and a first drain region. The second MISFET has a second gate insulating film arranged over the semiconductor substrate, a second gate electrode arranged over the second gate insulating film, and a second source region and a second drain region. The first and the second gate electrode are electrically coupled, the first and the second source region are electrically coupled, and the first and the second drain region are electrically coupled. Accordingly, the first and the second MISFET are coupled in parallel. In addition, threshold voltages are different between the first and the second MISFET.
    Type: Grant
    Filed: September 7, 2012
    Date of Patent: February 4, 2014
    Assignee: Renesas Electronics Corporation
    Inventor: Noriaki Maeda
  • Patent number: 8643115
    Abstract: A complementary metal oxide semiconductor (CMOS) structure including a scaled n-channel field effect transistor (nFET) and a scaled p-channel field transistor (pFET) which do not exhibit an increased threshold voltage and reduced mobility during operation is provided Such a structure is provided by forming a plasma nitrided, nFET threshold voltage adjusted high k gate dielectric layer portion within an nFET gate stack, and forming at least a pFET threshold voltage adjusted high k gate dielectric layer portion within a pFET gate stack. In some embodiments, the pFET threshold voltage adjusted high k gate dielectric layer portion in the pFET gate stack is also plasma nitrided.
    Type: Grant
    Filed: January 14, 2011
    Date of Patent: February 4, 2014
    Assignee: International Business Machines Corporation
    Inventors: Michael P. Chudzik, Dechao Guo, Siddarth A. Krishnan, Unoh Kwon, Carl J. Radens, Shahab Siddiqui
  • Publication number: 20140027859
    Abstract: Method of forming transistor devices is disclosed that includes forming a first layer of high-k insulating material and a sacrificial protection layer above first and second active regions, removing the first layer of insulating material and the protection layer from above the second active region, removing the protection layer from above the first layer of insulating material positioned above the first active region, forming a second layer of high-k insulating material above the first layer of insulating material and the second active region, forming a layer of metal above the second layer of insulating material, and removing portions of the first and second layers of insulating material and the metal layer to form a first gate stack (comprised of the first and second layers of high-k material and the layer of metal) and a second gate stack (comprised of the second layer of high-k material and the layer of metal).
    Type: Application
    Filed: July 30, 2012
    Publication date: January 30, 2014
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Martin Gerhardt, Stefan Flachowsky, Matthias Kessler
  • Patent number: 8637375
    Abstract: A method of manufacturing a tunnel field effect transistor is disclosed. The method comprises forming a two-step profile in a silicon substrate (100) using a patterned hard mask (104) covering the higher steps of said profile; forming a gate stack (114, 116) against the side wall of the higher step; forming spacers (122) on either side of the gate stack (118); and implanting a first type impurity (124) in the higher step and an opposite type impurity in the neighboring lower step (120), wherein at least the first type impurity is implanted using an angled implanting step after removing the patterned hard mask (104). In a preferred embodiment, the method further comprises forming a sacrificial spacer (108) against a side wall of a higher step and the side wall of the hard mask (104); further etching the lower step (106, 110) next to said spacer (108) and subsequently growing a further semiconductor portion (112) on said lower step and removing the spacer (108) prior to forming the gate stack.
    Type: Grant
    Filed: October 12, 2009
    Date of Patent: January 28, 2014
    Assignee: NXP B.V.
    Inventors: Gilberto Curatola, Marcus J. H. Van Dal
  • Patent number: 8637920
    Abstract: Provided is a semiconductor memory device. In the semiconductor memory device, a lower selection gate controls a first channel region that is defined at a semiconductor substrate and a second channel region that is defined at the lower portion of an active pattern disposed on the semiconductor substrate. The first threshold voltage of the first channel region is different from the second threshold voltage of the second channel region.
    Type: Grant
    Filed: November 26, 2012
    Date of Patent: January 28, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sunil Shim, Jaehun Jeong, Hansoo Kim, Sunghoi Hur, Jaehoon Jang, Su-Youn Yi
  • Publication number: 20140015066
    Abstract: An electronic component includes a high-voltage depletion-mode transistor and a low-voltage enhancement-mode transistor. A source electrode of the high-voltage depletion-mode transistor is electrically connected to a drain electrode of the low-voltage enhancement-mode transistor, and a gate electrode of the high-voltage depletion-mode transistor is electrically coupled to the source electrode of the low-voltage enhancement-mode transistor. The on-resistance of the enhancement-mode transistor is less than the on-resistance of the depletion-mode transistor, and the maximum current level of the enhancement-mode transistor is smaller than the maximum current level of the depletion-mode transistor.
    Type: Application
    Filed: July 16, 2012
    Publication date: January 16, 2014
    Applicant: TRANSPHORM INC.
    Inventors: Yifeng Wu, Umesh Mishra, Srabanti Chowdhury
  • Patent number: 8629021
    Abstract: A method for making an NMOS transistor on a semiconductor substrate includes reducing the thickness of the PMD layer to expose the polysilicon gate electrode of the NMOS transistor and the polysilicon gate electrode of the PMOS transistor, and then removing the gate electrode of the NMOS transistor. The method also includes depositing a NMOS-metal layer over the semiconductor substrate, depositing a fill-metal layer over the NMOS-metal layer, and then reducing the thickness of the NMOS metal layer and the fill metal layer to expose the gate electrodes of the NMOS transistor and the PMOS transistor.
    Type: Grant
    Filed: November 2, 2007
    Date of Patent: January 14, 2014
    Assignee: Texas Instruments Incorporated
    Inventor: Michael Francis Pas
  • Patent number: 8629511
    Abstract: In a replacement gate scheme, after formation of a gate dielectric layer, a work function material layer completely fills a narrow gate trench, while not filling a wide gate trench. A dielectric material layer is deposited and planarized over the work function material layer, and is subsequently recessed to form a dielectric material portion overlying a horizontal portion of the work function material layer within the wide gate trench. The work function material layer is recessed employing the dielectric material portion as a part of an etch mask to form work function material portions. A conductive material is deposited and planarized to form gate conductor portions, and a dielectric material is deposited and planarized to form gate cap dielectrics.
    Type: Grant
    Filed: May 15, 2012
    Date of Patent: January 14, 2014
    Assignee: International Business Machines Corporation
    Inventors: Charles W. Koburger, III, Marc A. Bergendahl, David V. Horak, Shom Ponoth, Chih-Chao Yang
  • Publication number: 20140001570
    Abstract: A composite high dielectric constant (high-k) gate dielectric includes a stack of a doped high-k gate dielectric and an undoped high-k gate dielectric. The doped high-k gate dielectric can be formed by providing a stack of a first high-k dielectric material layer and a dopant metal layer and annealing the stack to induce the diffusion of the dopant metal into the first high-k dielectric material layer. The undoped high-k gate dielectric is formed by subsequently depositing a second high-k dielectric material layer. The composite high-k gate dielectric can provide an increased gate-leakage oxide thickness without increasing inversion oxide thickness.
    Type: Application
    Filed: June 29, 2012
    Publication date: January 2, 2014
    Applicants: GLOBALFOUNDRIES INC., INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: MaryJane Brodsky, Michael P. Chudzik, Min Dai, Joseph F. Shepard, JR., Shahab Siddiqui, Yanfeng Wang, Jinping Liu
  • Publication number: 20140001569
    Abstract: High voltage three-dimensional devices having dielectric liners and methods of forming high voltage three-dimensional devices having dielectric liners are described. For example, a semiconductor structure includes a first fin active region and a second fin active region disposed above a substrate. A first gate structure is disposed above a top surface of, and along sidewalls of, the first fin active region. The first gate structure includes a first gate dielectric composed of a first dielectric layer disposed on the first fin active region, and a second, different, dielectric layer disposed on the first dielectric layer. The semiconductor structure also includes a second gate structure disposed above a top surface of, and along sidewalls of, the second fin active region. The second gate structure includes a second gate dielectric composed of the second dielectric layer disposed on the second fin active region.
    Type: Application
    Filed: June 28, 2012
    Publication date: January 2, 2014
    Inventors: Walid M. Hafez, Jeng-Ya D. Yeh, Curtis Tsai, Joodong Park, Chia-Hong Jan, Gopinath Bhimarasetti
  • Publication number: 20140001571
    Abstract: A semiconductor structure includes first, second, and third transistor elements each having a first screening region concurrently formed therein. A second screening region is formed in the second and third transistor elements such that there is at least one characteristic of the screening region in the second transistor element that is different than the second screening region in the third transistor element.
    Type: Application
    Filed: June 25, 2013
    Publication date: January 2, 2014
    Inventors: Dalong Zhao, Teymur Bakhishev, Lance Scudder, Paul E. Gregory, Michael Duane, U.C. Sridharan, Pushkar Ranade, Lucian Shifren, Thomas Hoffmann
  • Patent number: 8618602
    Abstract: A semiconductor device may include, but is not limited to, a semiconductor substrate, a word line, and an isolation region. The semiconductor substrate has an active region and first and second grooves. Each of the first and second grooves extends across the active region. The first groove is wider in width than the second groove. The word line is disposed in the first groove. The isolation region is disposed in the second groove. The isolation region is narrower in width than the word line.
    Type: Grant
    Filed: December 20, 2011
    Date of Patent: December 31, 2013
    Assignee: Elpida Memory, Inc.
    Inventor: Kiyonori Oyu
  • Publication number: 20130334612
    Abstract: An integrated circuit includes a plurality of transistors. Each transistor is associated with a corresponding body terminal. At least one transistor is reverse biased at a first voltage level, and at least one other transistor is reverse biased at a second voltage level that is different from the first voltage level. Each body terminal is electrically isolated from every other body terminal via an isolation barrier. A transistor that is reverse biased at the first voltage level is electrically connected to a transistor that is reverse biased at the second voltage level, such that the electrically connected transistors operate to interact with each other while the respective body voltage levels are different from each other and are changing independently of each other during operation of the integrated circuit.
    Type: Application
    Filed: August 13, 2013
    Publication date: December 19, 2013
    Applicant: GENERAL ELECTRIC COMPANY
    Inventors: Cheng-Po Chen, Emad Andarawis Andarawis, Vinayak Tilak, Zachary Matthew Stum
  • Patent number: 8610220
    Abstract: A semiconductor device and method for fabricating a semiconductor device is disclosed. An exemplary semiconductor device includes a substrate including a metal oxide device. The metal oxide device includes first and second doped regions disposed within the substrate and interfacing in a channel region. The first and second doped regions are doped with a first type dopant. The first doped region has a different concentration of dopant than the second doped region. The metal oxide device further includes a gate structure traversing the channel region and the interface of the first and second doped regions and separating source and drain regions. The source region is formed within the first doped region and the drain region is formed within the second doped region. The source and drain regions are doped with a second type dopant. The second type dopant is opposite of the first type dopant.
    Type: Grant
    Filed: May 16, 2012
    Date of Patent: December 17, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yue-Der Chih, Jam-Wem Lee, Cheng-Hsiung Kuo, Tsung-Che Tsai, Ming-Hsiang Song, Hung-Cheng Sung, Roger Wang
  • Publication number: 20130328133
    Abstract: Integrated circuit device with transistors having different threshold voltages and methods of forming the device are provided. The device may include the first, second and third transistors having threshold voltages different from each other. The first transistor may be free of a stacking fault and the second transistor may include a stacking fault. The concentration of the channel implant region of the third transistor may be different from the concentration of the channel implant region of the first transistor.
    Type: Application
    Filed: March 15, 2013
    Publication date: December 12, 2013
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Seung-Hyun Song, Seung-Chul Lee, In-Kook Jang
  • Publication number: 20130320459
    Abstract: A device includes a semiconductor substrate, a contact plug over the semiconductor substrate, and an Inter-Layer Dielectric (ILD) layer over the semiconductor substrate, with the contact plug being disposed in the ILD. An air gap is sealed by a portion of the ILD and the semiconductor substrate. The air gap forms a full air gap ring encircling a portion of the semiconductor substrate.
    Type: Application
    Filed: June 1, 2012
    Publication date: December 5, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Hong-Seng Shue, Tai-I Yang, Wei-Ding Wu, Ming-Tai Chung, Shao-Chi Yu
  • Patent number: 8598655
    Abstract: A semiconductor device includes a first transistor with a first drift zone, and a plurality of second transistors, each second transistor comprising a source region, a drain region and a gate electrode. The second transistors are electrically coupled in series to form a series circuit that is electrically coupled to the first transistor, the first and the plurality of second transistors being at least partially disposed in a semiconductor substrate including a buried doped layer, wherein the source or the drain regions of the second transistors are disposed in the buried doped layer.
    Type: Grant
    Filed: August 3, 2012
    Date of Patent: December 3, 2013
    Assignee: Infineon Technologies Dresden GmbH
    Inventors: Till Schloesser, Rolf Weis, Ralf Rudolf
  • Patent number: 8598005
    Abstract: A method and manufacture for memory device fabrication is provided. Spacer formation and junction formation is performed on both: a memory cell region in a core section of a memory device in fabrication, and a high-voltage device region in a periphery section of the memory device in fabrication. The spacer formation and junction formation on both the memory cell region and the high-voltage device region includes performing a rapid thermal anneal. After performing the spacer formation and junction formation on both the memory cell region and the high-voltage device region, spacer formation and junction formation is performed on a low-voltage device region in the periphery section.
    Type: Grant
    Filed: July 18, 2011
    Date of Patent: December 3, 2013
    Assignee: Spansion LLC
    Inventors: Simon Siu-Sing Chan, Hidehiko Shiraiwa, Chuan Lin, Lei Xue, Kenichi Ohtsuka, Angela Tai Hui
  • Publication number: 20130313654
    Abstract: An integrated circuit device includes a substrate having adjacent first and second regions, and a device isolation structure in the substrate between the first and second regions. The first and second regions of the substrate may respectively include transistors configured to be driven at different operational voltages, and the device isolation structure may electrically separates the transistors of the first region from the transistors of the second region. The device isolation structure includes outer portions immediately adjacent to the first and second regions and an inner portion therebetween. The outer portions of the device isolation structure comprise a material having an etching selectivity with respect to that of the inner portion. Related devices and fabrication methods are also discussed.
    Type: Application
    Filed: July 31, 2013
    Publication date: November 28, 2013
    Inventors: Oh-kyum Kwon, Tae-jung Lee, Sun-hyun Kim
  • Patent number: 8592974
    Abstract: An electronic component includes a high voltage switching transistor encased in a package. The high voltage switching transistor comprises a source electrode, a gate electrode, and a drain electrode all on a first side of the high voltage switching transistor. The source electrode is electrically connected to a conducting structural portion of the package. Assemblies using the abovementioned transistor with another transistor can be formed, where the source of one transistor can be electrically connected to a conducting structural portion of a package containing the transistor and a drain of the second transistor is electrically connected to the second conductive structural portion of a package that houses the second transistor. Alternatively, the source of the second transistor is electrically isolated from its conductive structural portion, and the drain of the second transistor is electrically isolated from its conductive structural portion.
    Type: Grant
    Filed: April 30, 2013
    Date of Patent: November 26, 2013
    Assignee: Transphorm Inc.
    Inventor: Yifeng Wu
  • Publication number: 20130307086
    Abstract: In a replacement gate scheme, after formation of a gate dielectric layer, a work function material layer completely fills a narrow gate trench, while not filling a wide gate trench. A dielectric material layer is deposited and planarized over the work function material layer, and is subsequently recessed to form a dielectric material portion overlying a horizontal portion of the work function material layer within the wide gate trench. The work function material layer is recessed employing the dielectric material portion as a part of an etch mask to form work function material portions. A conductive material is deposited and planarized to form gate conductor portions, and a dielectric material is deposited and planarized to form gate cap dielectrics.
    Type: Application
    Filed: May 15, 2012
    Publication date: November 21, 2013
    Applicant: International Business Machines Corporation
    Inventors: Charles W. Koburger, III, Marc A. Bergendahl, David V. Horak, Shom Ponoth, Chih-Chao Yang
  • Publication number: 20130307077
    Abstract: A shallow trench isolation structure containing a first shallow trench isolation portion comprising the first shallow trench material and a second shallow trench isolation portion comprising the second shallow trench material is provided. A first biaxial stress on at least one first active area and a second bidirectional stress on at least one second active area are manipulated separately to enhance charge carrier mobility in middle portions of the at least one first and second active areas by selection of the first and second shallow trench materials as well as adjusting the type of the shallow trench isolation material that each portion of the at least one first active area and the at least one second active area laterally abut.
    Type: Application
    Filed: July 22, 2013
    Publication date: November 21, 2013
    Applicant: International Business Machines Corporation
    Inventors: Huilong Zhu, Jing Wang
  • Publication number: 20130299916
    Abstract: A semiconductor device includes a substrate including a first region and a second region, a first gate dielectric layer, a first lower gate electrode, and a first upper gate electrode sequentially stacked on the first region, a second gate dielectric layer, a second lower gate electrode, and a second upper gate electrode sequentially stacked on the second region, a first spacer disposed on a sidewall of the first upper gate electrode, a second spacer disposed on a sidewall of the second upper gate electrode, a third spacer covering the first spacer on the sidewall of the first upper gate electrode, and a fourth spacer covering the second spacer on the sidewall of the second upper gate electrode. At least one of a first sidewall of the first lower gate electrode and a second sidewall of the first lower gate electrode is in contact with the third spacer.
    Type: Application
    Filed: January 28, 2013
    Publication date: November 14, 2013
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Seokjun Won, Youngmook Oh, Moonkyun Song, MinWoo Song, Namgyu Cho
  • Publication number: 20130292766
    Abstract: A semiconductor integrated circuit is provided and includes a first field effect transistor (FET) device and a second FET device formed on a semiconductor substrate. The first FET device has raised source/drain (RSD) structures grown at a first height. The second FET device has RSD structures grown at a second height greater than the first height such that a threshold voltage of the second FET device is greater than a threshold voltage of the first FET device.
    Type: Application
    Filed: May 3, 2012
    Publication date: November 7, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Thomas N. Adam, Kangguo Cheng, Bruce B. Doris, Balasubramanian S. Haran, Pranita Kulkarni, Alexander Reznicek
  • Publication number: 20130292777
    Abstract: An SRAM array is formed by a plurality of FinFETs formed by fin lines. Each fin line is formed in a substrate, wherein a bottom portion of the fin line is enclosed by an isolation region and an upper portion of the fin line protrudes above a top surface of the isolation region. From a first cross sectional view of the SRAM array, each fin line is of a rectangular shape. From a second cross sectional view of the SRAM array, the terminals of each fin line is of a tapered shape.
    Type: Application
    Filed: May 3, 2012
    Publication date: November 7, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventor: Jhon-Jhy Liaw
  • Patent number: 8575701
    Abstract: A semiconductor device having a DRAM region and a logic region embedded therein, includes: a substrate having the DRAM region and the logic region respectively formed thereon; a first transistor formed in the DRAM region, and having a first gate insulating film, and a second transistor formed in the logic region, and having a second gate insulating film, wherein equivalent oxide thickness T1 of the first gate insulating film of the first transistor is not larger than equivalent oxide thickness T2 of the second gate insulating film of the second transistor, the second transistor formed in the logic region has a pocket region which contains an impurity ion having a conductivity type different from that of an impurity ion composing the source/drain regions, while the first transistor formed in the DRAM region has no pocket region.
    Type: Grant
    Filed: January 11, 2010
    Date of Patent: November 5, 2013
    Assignee: Renesas Electronics Corporation
    Inventor: Ken Inoue
  • Patent number: 8574977
    Abstract: The present disclosure provides a method for manufacturing a gate stack structure and adjusting a gate work function for a PMOS device, comprising: growing an ultra-thin interface oxide layer or oxynitride layer on a semiconductor substrate by rapid thermal oxidation or chemical method after conventional LOCOS or STI dielectric isolation is completed; depositing high-K gate dielectric and performing rapid thermal annealing; depositing a composite metal gate; depositing a barrier metal layer; depositing a polysilicon film and a hard mask and then performing photolithography and etching the hard mask; removing photoresist and etching the polysilicon film, the barrier metal layer, the metal gate, the high-K gate dielectric, and the interface oxide layer in sequence to form a gate stack structure of polysilicon film/barrier metal layer/metal gate/high-K gate dielectric; forming spacers, source/drain implantation in a conventional manner and performing rapid thermal annealing, whereby while source/drain dopants ar
    Type: Grant
    Filed: November 21, 2011
    Date of Patent: November 5, 2013
    Assignee: The Institute of Microelectronics Chinese Academy of Science
    Inventors: Qiuxia Xu, Yongliang Li
  • Publication number: 20130285156
    Abstract: A method of forming an integrated circuit (IC) includes forming a first and second plurality of spacers on a substrate, wherein the substrate includes a silicon layer, and wherein the first plurality of spacers have a thickness that is different from a thickness of the second plurality of spacers; and etching the silicon layer in the substrate using the first and second plurality of spacers as a mask, wherein the etched silicon layer forms a first plurality and a second plurality of fin field effect transistor (FINFET) channel regions, and wherein the first plurality of FINFET channel regions each have a respective thickness that corresponds to the thickness of the first plurality of spacers, and wherein the second plurality of FINFET channel regions each have a respective thickness that corresponds to the thickness of the second plurality of spacers.
    Type: Application
    Filed: June 25, 2013
    Publication date: October 31, 2013
    Inventors: Ming Cai, Dechao Guo, Chung-Hsun Lin, Chun-Chen Yeh
  • Patent number: 8570256
    Abstract: A light emitting device comprising a light emitting element and a first transistor and a second transistor controlling current to be supplied to the light emitting element in a pixel; the first transistor is normally-on; the second transistor is normally-off; a channel length of the first transistor is longer than a channel width thereof; a channel length of the second transistor is equal to or shorter than a channel length thereof; gate electrodes of the first transistor and the second transistor are connected to each other; the first transistor and the second transistor have the same polarity; and the light emitting element, the first transistor and the second transistor are all connected in series.
    Type: Grant
    Filed: August 9, 2012
    Date of Patent: October 29, 2013
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Masayuki Sakakura, Mitsuaki Osame, Takashi Hamada, Tamae Takano, Yu Yamazaki, Aya Anzai
  • Patent number: 8569800
    Abstract: A field effect transistor includes: a buffer layer that is formed on a substrate; a high resistance layer or a foundation layer that is formed on the buffer layer; a carbon-containing carrier concentration controlling layer that is formed on the high resistance layer or the foundation layer; a carrier traveling layer that is formed on the carrier concentration controlling layer; a carrier supplying layer that is formed on the carrier traveling layer; a recess that is formed from the carrier supplying layer up to a predetermined depth; source/drain electrodes that are formed on the carrier supplying layer with the recess intervening therebetween; a gate insulating film that is formed on the carrier supplying layer so as to cover the recess; and a gate electrode that is formed on the gate insulating film in the recess.
    Type: Grant
    Filed: March 31, 2011
    Date of Patent: October 29, 2013
    Assignee: Furukawa Electric Co., Ltd.
    Inventors: Nariaki Ikeda, Takuya Kokawa, Masayuki Iwami, Sadahiro Kato
  • Publication number: 20130264654
    Abstract: An integrated circuit includes a semiconductor body with a first semiconductor layer and a second semiconductor layer arranged adjacent the first semiconductor layer in a vertical direction of the semiconductor body. The integrated circuit further includes a switching device with a control terminal and a load path between a first load terminal and a second load terminal, and a rectifier element connected in parallel with at least one section of the load path. The switching device is integrated in the first semiconductor layer and the rectifier element is integrated in the second semiconductor layer.
    Type: Application
    Filed: April 6, 2012
    Publication date: October 10, 2013
    Applicant: INFINEON TECHNOLOGIES DRESDEN GMBH
    Inventors: Rolf Weis, Andreas Spitzer
  • Publication number: 20130264655
    Abstract: In a semiconductor device including active regions which are adjacent to each other with an element isolation region interposed therebetween and which are different in height from the element isolation region, when a contact is formed in a gate wiring on the element isolation region, a contact failure is caused. Provided is a semiconductor device including an element isolation region, two active regions adjacent to each other with the element isolation region interposed therebetween and having surfaces which are higher than that of the element isolation region, a gate wiring commonly led from the respective active regions and extending through the element isolation region, and a contact for connecting the gate wiring to a conductor layer above the gate wiring. The contact is provided in a region other than the element isolation region, or is provided in an expanded element isolation region.
    Type: Application
    Filed: March 12, 2013
    Publication date: October 10, 2013
    Applicant: ELPIDA MEMORY, INC.
    Inventor: Takeshi KISHIDA
  • Publication number: 20130256808
    Abstract: The present invention discloses a semiconductor device, comprising a first MOSFET; a second MOSFET; a first stress liner covering the first MOSFET and having a first stress; a second stress liner covering the second MOSFET and having a second stress; wherein the second stress liner and/or the first stress liner comprise(s) a metal oxide. In accordance with the high-stress CMOS and method of manufacturing the same of the present invention, a stress layer comprising a metal oxide is formed selectively on PMOS and NMOS respectively by using a CMOS compatible process, whereby carrier mobility of the channel region is effectively enhanced and the performance of the device is improved.
    Type: Application
    Filed: April 11, 2012
    Publication date: October 3, 2013
    Inventors: Huaxiang Yin, xiaolong Ma, Qiuxia Xu, Dapeng Chen
  • Patent number: 8546854
    Abstract: A semiconductor device includes an isolation layer formed on a semiconductor substrate; an active region defined by the isolation layer; at least one gate line formed to overlap with the active region; at least one first active tab formed on a first interface of the active region which overlaps with the gate line; and a first gate tab formed on a second interface facing away from the first interface in such a way as to project from the gate line.
    Type: Grant
    Filed: April 30, 2010
    Date of Patent: October 1, 2013
    Assignee: SK Hynix Inc.
    Inventor: Jong Su Kim
  • Patent number: 8541279
    Abstract: By covering ends of a field insulating film in a region where a MOS transistor having a relatively thin gate insulating film is formed with a relatively thick gate insulating film, a channel region of the MOS transistor having the relatively thin gate insulating film is set apart from an inversion-preventing diffusion layer formed under the field insulating film so as not to be influenced by film thickness fluctuation of the field insulating film, etching fluctuation of the relatively thick gate insulating film, and impurity concentration fluctuation at both sides of the channel due to the inversion-preventing diffusion layer.
    Type: Grant
    Filed: September 22, 2010
    Date of Patent: September 24, 2013
    Assignee: Seiko Instruments Inc.
    Inventor: Yuichiro Kitajima
  • Publication number: 20130241004
    Abstract: The present invention discloses a semiconductor device, comprising substrates, a plurality of gate stack structures on the substrate, a plurality of gate spacer structures on both sides of each gate stack structure, a plurality of source and drain regions in the substrate on both sides of each gate spacer structure, the plurality of gate spacer structures comprising a plurality of first gate stack structures and a plurality of second gate stack structures, wherein each of the first gate stack structures comprises a first gate insulating layer, a first work function metal layer, a second work function metal diffusion blocking layer, and a gate filling layer; Each of the second gate stack structures comprises a second gate insulating layer, a first work function metal layer, a second work function metal layer, and a gate filling layer, characterized in that the first work function metal layer has a first stress, and the gate filling layer has a second stress.
    Type: Application
    Filed: April 11, 2012
    Publication date: September 19, 2013
    Inventors: Huaxiang Yin, Zuozhen Fu, Qiuxia Xu, Chao Zhao, Dapeng Chen
  • Publication number: 20130241003
    Abstract: A fin-shaped field-effect transistor process includes the following steps. A substrate is provided. A first fin-shaped field-effect transistor and a second fin-shaped field-effect transistor are formed on the substrate, wherein the first fin-shaped field-effect transistor includes a first metal layer and the second fin-shaped field-effect transistor includes a second metal layer. A treatment process is performed on the first fin-shaped field-effect transistor to adjust the threshold voltage of the first fin-shaped field-effect transistor. A fin-shaped field-effect transistor formed by said process is also provided.
    Type: Application
    Filed: March 13, 2012
    Publication date: September 19, 2013
    Inventors: Chien-Ting Lin, Wen-Tai Chiang
  • Patent number: 8536009
    Abstract: In sophisticated semiconductor devices, high-k metal gate electrode structures may be provided in an early manufacturing stage wherein the threshold voltage adjustment for P-channel transistors may be accomplished on the basis of a threshold voltage adjusting semiconductor alloy, such as a silicon/germanium alloy, for long channel devices, while short channel devices may be masked during the selective epitaxial growth of the silicon/germanium alloy. In some illustrative embodiments, the threshold voltage adjustment may be accomplished without any halo implantation processes for the P-channel transistors, while the threshold voltage may be tuned by halo implantations for the N-channel transistors.
    Type: Grant
    Filed: August 3, 2011
    Date of Patent: September 17, 2013
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Peter Javorka, Maciej Wiatr, Stephan-Detlef Kronholz