Resistive To Electromigration Or Diffusion Of The Contact Or Lead Material Patents (Class 257/767)
  • Patent number: 7215029
    Abstract: In order to solve the aforementioned problems, the present-invention provides a semiconductor device having a multilayer interconnection structure, wherein an upper interconnection comprises a first metal layer composed of an aluminum alloy, which is formed over a lower interconnection, and a second metal layer formed over the first metal layer and composed of an aluminum alloy formed as a film at a temperature higher than that for the first metal layer. Another invention provides a semiconductor device having a multilayer interconnection structure, wherein a metal region composed of a metal different from an aluminum alloy is formed in a portion spaced by a predetermined distance in an extending direction of an upper interconnection from an end of a via hole defined in the upper interconnection composed of the aluminum alloy, which is electrically connected to a lower interconnection through the via hole.
    Type: Grant
    Filed: January 5, 1999
    Date of Patent: May 8, 2007
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Eiichi Umemura
  • Patent number: 7205667
    Abstract: A first interlayer insulating film made of insulting material is formed over an underlying substrate. A via hole is formed through the first interlayer insulating film. A conductive plug made of copper or alloy mainly consisting of copper is filled in the via hole. A second interlayer insulating film made of insulating material is formed over the first interlayer insulating film. A wiring groove is formed in the second interlayer insulating film, passing over the conductive plug and exposing the upper surface of the conductive plug. A wiring made of copper or alloy mainly consisting of copper is filled in the wiring groove. The total atom concentration of carbon, oxygen, nitrogen, sulfur and chlorine in the conductive plug is lower than the total atom concentration of carbon, oxygen, nitrogen, sulfur and chlorine in the wiring.
    Type: Grant
    Filed: November 23, 2004
    Date of Patent: April 17, 2007
    Assignee: Fujitsu Limited
    Inventors: Yumiko Koura, Hideki Kitada
  • Patent number: 7196420
    Abstract: A low resistance copper damascene interconnect structure is formed by providing a thin dielectric film such as SiC or SiOC formed on the sidewalls of the via and trench structures to function as a copper diffusion barrier layer. The dielectric copper diffusion barrier formed on the bottom of the trench structure is removed by anisotropic etching to expose patterned metal areas. The residual dielectric thus forms a dielectric diffusion barrier film on the sidewalls of the structure, and coupled with the metal diffusion barrier subsequently formed in the trench, creates a copper diffusion barrier to protect the bulk dielectric from copper leakage.
    Type: Grant
    Filed: October 26, 2005
    Date of Patent: March 27, 2007
    Assignee: LSI Logic Corporation
    Inventors: Peter A. Burke, Hongqiang Lu, Sey-Shing Sun
  • Patent number: 7193327
    Abstract: An opening in a dielectric layer having a unique barrier layer structure is provided. In an embodiment, the opening is a via and a trench. The barrier layer, which may comprise one or more barrier layers, is formed such that the ratio of the thickness of the barrier layers along a sidewall approximately midway between the bottom of the trench and the top of the dielectric layer to the thickness of the barrier layers along the bottom of the trench is greater than about 0.55. In another embodiment, the ratio of the thickness of the barrier layers along a sidewall approximately midway between the bottom of the trench and the top of the dielectric layer to the thickness of the barrier layers along the bottom of the via is greater than about 1.0. An underlying conductive layer may be recessed.
    Type: Grant
    Filed: January 25, 2005
    Date of Patent: March 20, 2007
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Hua Yu, Shing-Chyang Pan, Shau-Lin Shue, Ching-Hua Hsieh, Cheng-Lin Huang, Hsien-Ming Lee, Jing-Cheng Lin
  • Patent number: 7187079
    Abstract: A nonconductive hydrogen barrier layer is deposited on a substrate and completely covers the surface area over a memory capacitor and a MOSFET switch of an integrated circuit memory cell. A portion of an insulator layer adjacent to the bottom electrode of a memory capacitor is removed by etching to form a moat region. A nonconductive oxygen barrier layer is deposited to cover the sidewall and bottom of the moat. The nonconductive oxygen barrier layer and a conductive diffusion barrier beneath the capacitor together provide a substantially continuous diffusion barrier between the capacitor and a switch. Also, the nonconductive hydrogen barrier layer, the nonconductive oxygen barrier, and the conductive diffusion barrier substantially completely envelop the capacitor, in particular a ferroelectric thin film in the capacitor.
    Type: Grant
    Filed: September 19, 2003
    Date of Patent: March 6, 2007
    Assignee: Symetrix Corporation
    Inventors: Vikram Joshi, Narayan Solayappan, Carlos A. Paz de Araujo, Larry D. McMillan
  • Patent number: 7187080
    Abstract: A method of manufacturing a semiconductor device includes the steps of providing a semiconductor substrate (202), forming a dielectric layer (204) over the semiconductor substrate (202), and etching a trench or a via (206) in the dielectric layer (204) to expose a portion of the surface of the semiconductor substrate (202). The method also includes the step of forming a conductive layer (212, 220) within in the trench or the via (206). The method further includes the steps of polishing a portion of the conductive layer (220) and annealing the conductive layer (212, 220) at a predetermined temperature. Moreover, the conductive layer (212, 220) also includes a dopant, and the dopant diffuses substantially to the surface of the top side of the conductive layer (212, 220) to form a dopant oxide layer (212a, 220a) when the conductive layer (212, 220) is annealed at the predetermined temperature and the dopant is exposed to oxygen.
    Type: Grant
    Filed: October 14, 2004
    Date of Patent: March 6, 2007
    Assignee: Texas Instruments Incorporated
    Inventors: Qing-Tang Jiang, Changming Jin, Joseph D. Luttmer
  • Patent number: 7164206
    Abstract: The invention relates to a microelectronic device and a structure therein that includes a diffusion barrier layer having a first thickness and a first dielectric constant. An etch stop layer is disposed above and on the diffusion barrier layer. The etch stop layer has a second thickness and a second dielectric constant.
    Type: Grant
    Filed: March 28, 2001
    Date of Patent: January 16, 2007
    Assignee: Intel Corporation
    Inventors: Grant Kloster, Jihperng Leu, Lawrence Wong, Andrew Ott, Patrick Marrow
  • Patent number: 7164207
    Abstract: A wiring structure for semiconductor device has a wiring layer that includes copper as main component and a crystal grain promotion layer that promotes enlargement in a crystal grain of the wiring layer.
    Type: Grant
    Filed: December 30, 2003
    Date of Patent: January 16, 2007
    Assignee: Toyoda Gosei Co., Ltd.
    Inventors: Masahiro Shimada, Miki Moriyama, Masanori Murakami, Naoki Shibata
  • Patent number: 7164205
    Abstract: A semiconductor carrier film includes (i) a base film having insulating property, (ii) a barrier layer provided on the base film, the barrier layer including nickel-chrome alloy as a main component, and (iii) a wire layer provided on the barrier layer, the wire layer being made of conductive material including copper, and a ratio of chrome in the barrier layer is 15% to 50% by weight. A semiconductor device is formed by bonding a semiconductor element to the wire layer. The semiconductor carrier film and the semiconductor device are suitable for attaining finer pitches and higher outputs, because insulating resistance between adjacent terminals is less likely to deteriorate then in conventional art even in the environment of high temperature and moisture.
    Type: Grant
    Filed: June 29, 2004
    Date of Patent: January 16, 2007
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Yasuhisa Yamaji, Kenji Toyosawa
  • Patent number: 7151315
    Abstract: The present disclosure provides a method, integrated circuit, and interconnect structure utilizing non-metal barrier copper damascene integration. The method is provided for fabricating an interconnect for connecting to one or more front end of line (FEOL) devices. The method includes forming a layer of doped oxide on the one or more FEOL devices and forming a first barrier layer on the layer of doped oxide, the first barrier layer comprising such material as silicon oxycarbide (SiOC) or silicon carbonitride (SiCN). The method further includes forming a plurality of refractory metal plugs in the first barrier layer and the doped oxide layer, forming a low dielectric constant film over the first barrier layer and the plurality of refractory metal plugs, and performing a first etch to create trenches through the low dielectric constant film. The plurality of refractory metal plugs and the first barrier layer perform as an etch-stop.
    Type: Grant
    Filed: June 11, 2003
    Date of Patent: December 19, 2006
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Zhen-Cheng Wu, Yung-Chen Lu, Syun-Ming Jang
  • Patent number: 7145241
    Abstract: A multilayer interconnection structure includes a first interconnection layer having a copper interconnection pattern and a second interconnection layer having an aluminum interconnection layer and formed on the first interconnection layer via an intervening interlayer insulation film, wherein a tungsten plug is formed in a via-hole formed in the interlayer insulation film so as to connect the first interconnection layer and the second interconnection layer electrically. The via-hole has a depth/diameter ratio of 1.25 or more, and there is formed a conductive nitride film between the outer wall of the tungsten plug and an inner wall of the via-hole such that the entirety of the conductive nitride film is formed of a conductive nitride.
    Type: Grant
    Filed: December 16, 2003
    Date of Patent: December 5, 2006
    Assignee: Fujitsu Limited
    Inventors: Toshio Takayama, Kuniyuki Narukawa, Hiroshi Mizutani
  • Patent number: 7141880
    Abstract: The method for forming a metal line stacking structure according to a preferred embodiment of the present invention comprises: sequentially forming a first barrier metal and a first metal layer on a lower dielectric layer that is disposed over a semiconductor substrate, and performing a plasma treatment; forming a second barrier metal on the plasma-treated first metal layer; selectively etching the second barrier metal, the first metal layer, and the first barrier metal to form a metal line layer including the second barrier metal, the first metal layer, and the first barrier metal, which respectively have a predetermined width; and sintering the metal line layer to raise a reaction between the first metal layer and the second barrier metal, thereby generating a metal compound layer.
    Type: Grant
    Filed: April 18, 2003
    Date of Patent: November 28, 2006
    Assignee: Dongbuanam Semiconductor Inc.
    Inventor: Jae-Won Han
  • Patent number: 7129582
    Abstract: A method of forming a semiconductor device includes implanting a precipitate into a gate conductor of an at least partially formed semiconductor device. The gate conductor including a plurality of semiconductor grains. The boundaries of adjacent grains forming a dopant migration path. A plurality of precipitate regions are formed within the gate conductor. At least some of the precipitate regions located at a junction of at least two grains. The gate conductor of the at least partially formed semiconductor device is doped with a dopant. The dopant diffuses inwardly along the dopant migration path.
    Type: Grant
    Filed: July 15, 2005
    Date of Patent: October 31, 2006
    Assignee: Texas Instruments Incorporated
    Inventors: Kaiping Liu, Zhiqiang Wu, Jihong Chen
  • Patent number: 7115997
    Abstract: An integrated circuit (IC) chip, semiconductor wafer with IC chips in a number of die locations and a method of making the IC chips on the wafer. The IC chips have plated chip interconnect pads. Each plated pad includes a noble metal plated layer electroplated to a platable metal layer. The platable metal layer may be copper and the noble metal plated layer may be of gold, platinum, palladium, rhodium, ruthenium, osmium, iridium or indium.
    Type: Grant
    Filed: November 19, 2003
    Date of Patent: October 3, 2006
    Assignee: International Business Machines Corporation
    Inventors: Chandrasekhar Narayan, Kevin Shawn Petrarca
  • Patent number: 7088000
    Abstract: An integrated circuit structure and a method of manufacturing, wherein the method comprises forming a first via in an interconnect layer of the substrate, wherein the first via comprises a first size diameter; and forming a second via in the interconnect layer, wherein the second via comprises a second size diameter, the second size diameter being dimensioned larger than the first size diameter, wherein the second via comprises a non-uniform circumference, and wherein the substrate is configured in an approximately 1:1 ratio (i.e., approximately equal number) of the first and second vias. The first and second vias are laser formed or are formed by any of mechanical punching and photolithography. The second via is formed by sequentially forming multiple partially overlapping vias dimensioned and configured with the first size diameter. The first and second vias are arranged in a grid to allow for wiring of electronic devices.
    Type: Grant
    Filed: November 10, 2004
    Date of Patent: August 8, 2006
    Assignee: International Business Machines Corporation
    Inventors: Michael S. Cranmer, Michael J. Domitrovits, Benjamin V. Fasano, Harvey C. Hamel, Charles T. Ryan
  • Patent number: 7087999
    Abstract: A semiconductor protection element is provided in which no heat generation occurs in a concentrated manner, in a region having a high resistance value even when electrostatic discharge (ESD) is applied, without an increase in an area of the semiconductor device. The semiconductor protection element is made up of an N-type well, P-type semiconductor substrate having a pair of N+ diffusion layers each having an impurity concentration being higher than that of the N-type well, and a silicide layer partially formed on each of the two N+ diffusion layers. The N-type well has a first exposed region being exposed on the semiconductor substrate and the silicide layer is so formed that a part of each of the two N+ diffusion layers has a second exposed region being exposed successively so as to be in contact with the first exposed region. The first exposed region is sandwiched by two N+ diffusion layers.
    Type: Grant
    Filed: March 11, 2004
    Date of Patent: August 8, 2006
    Assignee: NEC Electronics Corporation
    Inventor: Hitoshi Irino
  • Patent number: 7081676
    Abstract: A method of producing electrical contacts having reduced interface roughness as well as the electrical contacts themselves are disclosed herein. The method of the present invention comprises (a) forming an alloy layer having the formula MX, wherein M is a metal selected from the group consisting of Co and Ni and X is an alloying additive, over a silicon-containing substrate; (b) optionally forming an optional oxygen barrier layer over said alloy layer; (c) annealing said alloy layer at a temperature sufficient to form a MXSi layer in said structure; (d) removing said optional oxygen barrier layer and any remaining alloy layer; and optionally (e) annealing said MXSi layer at a temperature sufficient to form a MXSi2 layer in said structure.
    Type: Grant
    Filed: October 22, 2003
    Date of Patent: July 25, 2006
    Assignee: International Business Machines Corporation
    Inventors: Paul David Agnello, Cyril Cabral, Jr., Roy Arthur Carruthers, James McKell Edwin Harper, Christian Lavoie, Kirk David Peterson, Robert Joseph Purtell, Ronnen Andrew Roy, Jean Louise Jordan-Sweet, Yun Yu Wang
  • Patent number: 7061115
    Abstract: The present invention relates to selectively electrically connecting an electrical interconnect line, such as a bit line of a memory cell, with an associated contact stud and electrically isolating the interconnect line from other partially underlying contact studs for other electrical features, such as capacitor bottom electrodes. The interconnect line can be formed as initially partially-connected to all contact studs, thereby allowing the electrical features to be formed in closer proximity to one another for higher levels of integration. In subsequent steps of fabrication, the contact studs associated with memory cell features other than the interconnect line can be isolated from the interconnect line by the removal of a silicide cap, or the selective etching of a portion of these contact studs, and the formation of an insulating sidewall between the non-selected contact stud and the interconnect line.
    Type: Grant
    Filed: December 3, 2003
    Date of Patent: June 13, 2006
    Assignee: Micron Technology, Inc.
    Inventor: John M. Drynan
  • Patent number: 7042099
    Abstract: There is disclosed a semiconductor device comprising a substrate, a first insulating film which is provided above the substrate and has a relative dielectric constant which is at most a predetermined value, a second insulating film which is provided on a surface of the first insulating film and has a relative dielectric constant greater than the predetermined value, a wire which is provided in a recess for the wire, which is formed passing through the second insulating film and extending into the first insulating film, and a dummy wire provided in a recess for the dummy wire, which is formed passing through the second insulating film and extending into the first insulating film, and is located in a predetermined area spaced from an area where the wire is provided.
    Type: Grant
    Filed: August 14, 2003
    Date of Patent: May 9, 2006
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Nobuyuki Kurashima, Gaku Minamihaba, Dai Fukushima, Yoshikuni Tateyama, Hiroyuki Yano
  • Patent number: 7034398
    Abstract: A semiconductor device includes an active element structure that is formed on a semiconductor substrate and has a connection region formed in the surface of the semiconductor substrate. A contact hole extends from a surface of a first insulating film formed on the semiconductor substrate to the connection region. A contact plug is provided in the contact hole. A clearance formed in the contact plug is formed with a buried conductive film consisting of a material different from the contact plug. The buried conductive film has a continuous surface without forming a step with the surface of the contact plug.
    Type: Grant
    Filed: November 13, 2003
    Date of Patent: April 25, 2006
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Akihiro Kajita, Kazuyuki Higashi
  • Patent number: 7030492
    Abstract: An under bump metallurgic (UBM) layer which is adapted for a chip is disclosed. The UMM layer alleviate the loss of electromigration resulting from current crowing effect at the corner of UBM layer near the transmission line. By increasing the thickness of the UBM layer at the particular region which is close to the transmission line, losses of the UBM layer due to electromigration can be compensated. The life time of the chip is, therefore, enhanced.
    Type: Grant
    Filed: July 9, 2004
    Date of Patent: April 18, 2006
    Assignee: Advanced Semiconductor Engineering, Inc.
    Inventor: Min-Lung Huang
  • Patent number: 7030493
    Abstract: Provided is a reliable semiconductor device with a layered interconnect structure that may develop no trouble of voids and interconnect breakdowns, in which the layered interconnect structure comprises a conductor film and a neighboring film as so layered on a semiconductor substrate that the neighboring film is contacted with the conductor film.
    Type: Grant
    Filed: June 29, 2004
    Date of Patent: April 18, 2006
    Assignee: Hitachi, Ltd.
    Inventors: Tomio Iwasaki, Hideo Miura
  • Patent number: 6992389
    Abstract: A method of creating a multi-layered barrier for use in an interconnect, a barrier for an interconnect, and an interconnect including the barrier are disclosed. The method includes creating the multi-layered barrier in a recess of the device terminal by use of a single electroplating chemistry to enhance protection against voiding and de-lamination due to the diffusion of copper, whether by self-diffusion or electro-migration. The barrier includes at least a first layer of nickel-rich material and a second layer of copper-rich material. The barrier enables use of higher current densities for advanced complementary metal-oxide semiconductors (CMOS) designs, and extends the reliability of current CMOS designs regardless of solder selection. Moreover, this technology is easily adapted to current methods of fabricating electroplated interconnects such as C4s.
    Type: Grant
    Filed: April 28, 2004
    Date of Patent: January 31, 2006
    Assignee: International Business Machines Corporation
    Inventors: Panayotis C. Andricacos, Tien-Jen J. Cheng, Emanuel I. Cooper, David E. Eichstadt, Jonathan H. Griffith, Randolph F. Knarr, Roger A. Quon, Erik J. Roggeman
  • Patent number: 6989599
    Abstract: A reliable semiconductor device is provided with a layered interconnect structure that may develop no problem of voids and interconnect breakdowns, in which the layered interconnect structure includes a conductor film and a neighboring film so layered on a semiconductor substrate that the neighboring film is in contact with the conductor film.
    Type: Grant
    Filed: February 23, 1999
    Date of Patent: January 24, 2006
    Assignee: Hitachi, Ltd.
    Inventors: Tomio Iwasaki, Hideo Miura
  • Patent number: 6979882
    Abstract: An electronic device is provided using wiring comprising aluminum to prevent hillock or whisker from generating, wherein the wiring contains oxygen atoms at a concentration of 8×1018 atoms·cm?3 or less, carbon atoms at a concentration of 5×1018 atoms·cm?3 or less, and nitrogen atoms at a concentration of 7×1017 atoms·cm?3 or less; furthermore, a silicon nitride film is formed on the aluminum gate, and an anodic oxide film is formed on the side planes thereof.
    Type: Grant
    Filed: April 30, 1999
    Date of Patent: December 27, 2005
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Satoshi Teramoto
  • Patent number: 6969915
    Abstract: A highly reliable semiconductor chip electrode structure allowing control of interface reaction of bonding sections even in the case of using two- or three-element solder used conventionally is disclosed. A solder alloy making layer for preventing dissolving and diffusion of tin into tin-based lead free solder is thinly formed on a UBM layer. The tin-based solder is supplied in solder paste or solder ball form. A combined solder alloy layer composed of a combination of intermetallic compounds, one of tin and the solder alloy making layer, and one of tin and the UBM layer, is formed by heating and melting.
    Type: Grant
    Filed: January 14, 2002
    Date of Patent: November 29, 2005
    Assignee: NEC Corporation
    Inventors: Masamoto Tago, Tomohiro Nishiyama, Tetuya Tao, Kaoru Mikagi
  • Patent number: 6960800
    Abstract: A lower electrode is formed on a substrate, a capacitive insulating film is formed out of a ferroelectric film on the lower electrode, and an upper electrode is formed on the capacitive insulating film. A contact layer is formed on the upper electrode. The contact layer is either a single-layer film made of a metal oxide or a metal nitride or a multilayer structure made up of metal oxide and metal nitride films. An insulating film is formed to cover the lower electrode, capacitive insulating film, upper electrode and contact layer. A contact hole is opened through the insulating film and the contact layer to reach the upper electrode. A metal interconnect, which is filled in the contact hole and connected to the upper electrode, is formed on a part of the insulating film.
    Type: Grant
    Filed: December 12, 2000
    Date of Patent: November 1, 2005
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Takumi Mikawa, Yuji Judai
  • Patent number: 6955980
    Abstract: A method of forming a semiconductor device includes implanting a precipitate into a gate conductor of an at least partially formed semiconductor device. The gate conductor including a plurality of semiconductor grains. The boundaries of adjacent grains forming a dopant migration path. A plurality of precipitate regions are formed within the gate conductor. At least some of the precipitate regions located at a junction of at least two grains. The gate conductor of the at least partially formed semiconductor device is doped with a dopant. The dopant diffuses inwardly along the dopant migration path.
    Type: Grant
    Filed: August 30, 2002
    Date of Patent: October 18, 2005
    Assignee: Texas Instruments Incorporated
    Inventors: Kaiping Liu, Zhiqiang Wu, Jihong Chen
  • Patent number: 6943435
    Abstract: A lead pin with an Au—Ge based brazing material including a lead pin made of a copper-containing metal is provided. The lead pin including a joining surface to a substrate, at least the joining surface of the lead pin being plated with nickel and gold, and including an Au—Ge based brazing material being fused on top of the gold plating, wherein the lead pin after plated with nickel is subjected to heat-treatment and then plated with gold to fuse the brazing material.
    Type: Grant
    Filed: January 9, 2003
    Date of Patent: September 13, 2005
    Assignee: Tanaka Kikinzoku Kogyo K.K.
    Inventor: Masaru Kobayashi
  • Patent number: 6936906
    Abstract: The present invention generally relates to filling of a feature by depositing a barrier layer, depositing a seed layer over the barrier layer, and depositing a conductive layer over the seed layer. In one embodiment, the seed layer comprises a copper alloy seed layer deposited over the barrier layer. For example, the copper alloy seed layer may comprise copper and a metal, such as aluminum, magnesium, titanium, zirconium, tin, and combinations thereof. In another embodiment, the seed layer comprises a copper allloy seed layer deposited over the barrier layer and a second seed layer deposited over the copper alloy seed layer. The copper alloy seed layer may comprise copper and a metal, such as aluminum, magnesium, titanium, zirconium, tin, and combinations thereof The second seed layer may comprise a metal, such as undoped copper. In still another embodiment, the seed layer comprises a first seed layer and a second seed layer.
    Type: Grant
    Filed: September 26, 2001
    Date of Patent: August 30, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Hua Chung, Ling Chen, Jick Yu, Mei Chang
  • Patent number: 6936925
    Abstract: The present invention relates to the semiconductor device fabrication industry. More particularly a semiconductor device, having an interim reduced-oxygen Cu—Zn alloy thin film (30) electroplated on a blanket Cu surface (20) disposed in a via (6) by electroplating, using an electroplating apparatus, the Cu surface (20) in a unique chemical solution containing salts of Zn and Cu, their complexing agents, a pH adjuster, and surfactants; and annealing the interim electroplated Cu—Zn alloy thin film (30); filling the via (6) with further Cu (26); annealing and planarizing the interconnect structure (35).
    Type: Grant
    Filed: July 23, 2003
    Date of Patent: August 30, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Sergey Lopatin, Alexander H. Nickel
  • Patent number: 6906370
    Abstract: A semiconductor component having a material-reinforced contact area formed of a metal layer is disclosed. The contact area is jointly formed by a second metal area of a first metal layer and a fourth metal area of a second metal layer which is to be contacted. A thickness of the contact area material is at least twice that of a single metal layer and thereby prevents penetrative etching when a hole is created for contacting the metal layer.
    Type: Grant
    Filed: October 10, 2000
    Date of Patent: June 14, 2005
    Assignee: Infineon Technologies AG
    Inventors: Holger Hübner, Thomas Röhr
  • Patent number: 6906420
    Abstract: The semiconductor device of the present invention includes: a substrate; a first conductor film supported by the substrate; an insulating film formed on the substrate to cover the first conductor film, an opening being formed in the insulating film; and a second conductor film, which is formed within the opening of the insulating film and is in electrical contact with the first conductor film. The second conductor film includes: a silicon-containing titanium nitride layer formed within the opening of the insulating film; and a metal layer formed over the silicon-containing titanium nitride layer. The metal layer is mainly composed of copper.
    Type: Grant
    Filed: December 18, 2003
    Date of Patent: June 14, 2005
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Takeshi Harada
  • Patent number: 6900539
    Abstract: A semiconductor device comprises a first Cu interconnect layer, an interlayer insulation film formed thereon, a via hole formed in the interlayer insulation film to expose a part of the first Cu interconnect layer and a Cu via formed within the via hole and connected to the first Cu interconnect layer. A TaN barrier film and a Ta barrier film are laminated on the side surface of the Cu via, and only the Ta barrier film is formed under the bottom surface thereof. The adherence between the TaN barrier film and the interlayer insulation film is strong, and the adherence between the Ta barrier film and copper is strong. Both the barrier films prevent Cu contamination due to diffusion of Cu and at the same time, enhance adherence between Cu and the interlayer insulation film at the side surface of the Cu via to prevent removal of the Cu via.
    Type: Grant
    Filed: October 17, 2002
    Date of Patent: May 31, 2005
    Assignee: NEC Electronics Corporation
    Inventor: Koichi Motoyama
  • Patent number: 6894364
    Abstract: A fabrication method for an integrated device having a capacitor in an interconnect system is described. At least a first exposed metal line and a second metal line are provided in an insulating layer. A stack layer is deposited and patterned to form a film stack structure over the second metal line. An inter-metal dielectric layer is formed over the film stack structure, the first metal line and the insulating layer. At least a first dual damascene interconnect and a second dual damascene interconnect are formed over and in contact with the first metal line and the film stack structure, respectively.
    Type: Grant
    Filed: February 24, 2003
    Date of Patent: May 17, 2005
    Assignee: United Microelectronics Corp.
    Inventors: Ming-Yin Hao, Tri-Rung Yew, Coming Chen, Tsong-Minn Hsieh, Nai-Chen Peng, Jih-Cheng Yeh
  • Patent number: 6891269
    Abstract: An embedded electroconductive layer is disclosed which comprises an opening part or a depressed part 3 formed in an insulating film 2 on a substrate 1, a barrier layer for covering the opening part or the depressed part, a metal growth promoting layer 5 on the barrier layer, and an electroconductive layer 6 embedded in the opening part or the depressed part via the barrier layer 4 and the metal growth promoting layer 5.
    Type: Grant
    Filed: March 8, 2000
    Date of Patent: May 10, 2005
    Assignee: Fujitsu LImited
    Inventor: Shigeru Okamoto
  • Patent number: 6885103
    Abstract: A method for manufacturing a semiconductor device can simply form a silicide film for reducing ohmic contact between a metal line and a substrate and a ternary phase thin film as an amorphous diffusion prevention film between a metal line and the silicide film. The method for manufacturing a semiconductor device includes the steps of sequentially forming a first refractory metal and a second refractory metal on a semiconductor substrate, forming a silicide film on an interface between the semiconductor substrate and the first refractory metal, and reacting the semiconductor substrate with the first and second refractory metals on the silicide film to form a ternary phase thin film.
    Type: Grant
    Filed: July 17, 2003
    Date of Patent: April 26, 2005
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Dong Kyun Sohn, Ji Soo Park, Jong Uk Bae
  • Patent number: 6882052
    Abstract: A method and structure for forming a refractory metal liner, includes depositing a layer of refractory metal on a first conductive layer, at least half of the depositing being carried out in the presence of an amount of passivating agent that is sufficient to impede subsequent reaction of at least a top half of the layer of refractory metal with the first conductive layer and is less than an amount of passivating agent necessary to form a stoichiometric refractory metal with the passivating agent, and annealing the refractory metal and the first conductive layer in a first element ambient, thereby forming a stoichiometric refractory metal with the first element in at least a portion of the top half of the layer of refractory metal.
    Type: Grant
    Filed: September 20, 2003
    Date of Patent: April 19, 2005
    Assignee: International Business Machines Corporation
    Inventor: William J. Murphy
  • Patent number: 6870263
    Abstract: A conductor for interconnecting integrated circuit components having improved reliability. The conductor includes a liner surrounding at least three surfaces of the conductor, producing a low textured conductor. It has been found that low textured conductor results in improved electromigration lifetime.
    Type: Grant
    Filed: March 31, 1998
    Date of Patent: March 22, 2005
    Assignee: Infineon Technologies AG
    Inventors: Lawrence A. Clevenger, Ronald G. Filippi, Mark Hoinkis, Jeffery L. Hurd, Roy C. Iggulden, Herbert Palm, Hans W. Poetzlberger, Kenneth P. Rodbell, Florian Schnabel, Stefan Weber, Ebrahim A. Mehter
  • Patent number: 6853083
    Abstract: An organic EL display device has a substrate, a plurality of organic EL elements formed on the substrate and a plurality of thin film transistors formed on the substrate. The transistors are connected to the respective EL elements for controlling current applied to the respective elements.
    Type: Grant
    Filed: March 11, 1999
    Date of Patent: February 8, 2005
    Assignees: Semiconductor Energy Laboratory Co., Ltd., TDK Corporation
    Inventors: Yukio Yamauchi, Michio Arai
  • Patent number: 6833621
    Abstract: A metal gasket for a semiconductor fabrication chamber capable of preventing base plate metal contamination in the chamber, wherein the metal gasket includes a diffusion barrier layer interposed between a base plate and an anti-corrosive coating layer, and wherein the diffusion barrier layer prevents elements of the base plate from being diffused to the anti-corrosive coating layer. Accordingly, the diffusion barrier layer prevents attack on the anti-corrosive coating layer.
    Type: Grant
    Filed: December 10, 2002
    Date of Patent: December 21, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Tae-Won Lee, Do-In Bae, Guk-Kwang Kim, Wan-Goo Hwang, Jaung-Joo Kim
  • Patent number: 6833625
    Abstract: For fabricating an interconnect structure formed within an interconnect opening surrounded by dielectric material, the interconnect opening is filled with a conductive fill material comprised of a bulk conductive fill material doped with a first dopant element and a second dopant element that is different from the first dopant element. The dielectric material is comprised of a first dielectric reactant element and a second dielectric reactant element. A diffusion barrier material is formed from a reaction of the first dielectric reactant element and the first dopant element that diffuses from the conductive fill material to the walls to the interconnect opening. In addition, a boundary material is formed from a reaction of the second dielectric reactant element and the second dopant element that diffused from the conductive fill material to the walls of the interconnect opening.
    Type: Grant
    Filed: October 21, 2003
    Date of Patent: December 21, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Pin-Chin C. Wang, Fei Wang
  • Patent number: 6831365
    Abstract: A method and a pattern for reducing interconnect failures are described. The method and pattern are used for a multilevel structure of metal/dielectric/metal. At least one assistant pattern is attached to one metal layer of the multilevel structure. A thermal stress gradient resulting from the assistant pattern can collect vacancies of the metal layer, so as to prevent stress-induced voids from generating at the bottom of a via plug which connects the two metal layers.
    Type: Grant
    Filed: May 30, 2003
    Date of Patent: December 14, 2004
    Assignee: Taiwan Semiconductor Manufacturing, Co.
    Inventors: Chih-Hsiang Yao, Wen-Kai Wan, Tai-Chun Huang, Chin-Chiu Hsia
  • Patent number: 6828660
    Abstract: A leadframe for use in the assembly of integrated circuit (IC) chips, which has first and second surfaces and a base metal structure (606) with an adherent layer (607) of nickel having a rough, non-reflecting surface covering the base metal. This rough nickel enhances adhesion to molding compounds. An adherent layer (608) of smooth, reflective nickel selectively covers the first surface of the leadframe in areas intended for attachment of bonding wires and the IC chip. This smooth nickel facilitates the use of vision systems. A first adherent metal layer (609) is deposited in selected areas of the first leadframe surface for wire bond attachment, and a second adherent metal layer (610) is deposited to provide attachment to external parts.
    Type: Grant
    Filed: January 17, 2003
    Date of Patent: December 7, 2004
    Assignee: Texas Instruments Incorporated
    Inventor: Donald C. Abbott
  • Patent number: 6828680
    Abstract: In an integrated circuit configuration, above a first conductive structure which is embedded in a first insulating layer there are arranged a first barrier layer and a second insulating layer, in which a contact hole is provided which reaches down to the first conductive structure. Above the first barrier layer, the side walls of the contact hole are provided with spacers which act as a diffusion barrier and which reach down to the surface of the first barrier layer. A second conductive structure is arranged in the contact hole. The second conductive structure is conductively connected to the first conductive structure. During the production of the contact hole, the spacers prevent deposition of material from the first conductive structure on the surface of the second insulating layer.
    Type: Grant
    Filed: March 23, 2001
    Date of Patent: December 7, 2004
    Assignee: Infineon Technologies AG
    Inventor: Manfred Engelhardt
  • Patent number: 6825566
    Abstract: This invention provides a semiconductor device that can ensure that stress on the nitride film is not increased or is reduced, and that can prevent an increase in interconnection capacity. The semiconductor device comprises a underlayer, a base oxide film that is formed on this underlayer, a nitride film pattern with a hole pattern that is provided on this base oxide film, holes that penetrate the base oxide film, an upper oxide film provided on the base oxide film to cover the nitride film pattern, wiring grooves provided through the upper oxide film in which part of the nitride film pattern including the hole pattern is exposed, and wiring metal that fills the holes and wiring grooves. The nitride film pattern is formed with such a shape and size that surrounds the outside of the wiring grooves and is separate from neighbouring nitride film patterns.
    Type: Grant
    Filed: December 15, 2000
    Date of Patent: November 30, 2004
    Assignee: Oki Electric Industry Co., Ltd.
    Inventors: Toyokazu Sakata, Hidenori Inui
  • Patent number: 6821886
    Abstract: A new method is provided for the creation of an adhesion/barrier layer over which a tungsten interconnect is created. The invention reduces metal extrusion and effects of pin-holes by dividing the process of barrier material of TiN deposition into phases, whereby after about half the thickness of the required layer of TiN has been deposited, an intermediate and very thin layer of Ti is deposited. After the thin layer of Ti has been deposited, the deposition of the barrier layer of TiN is continued to the point where the required thickness for the layer of TiN has been reached.
    Type: Grant
    Filed: September 5, 2003
    Date of Patent: November 23, 2004
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Nace Layadi, Alvaro Maury, Jovin Lim
  • Patent number: 6818996
    Abstract: A multi-level redistribution layer trace reduces current crowding in solder bumps of an integrated circuit package. A multi-level redistribution layer trace for an integrated circuit die includes a redistribution layer trace formed on the integrated circuit die in each of a plurality of electrically conductive layers and an I/O pad formed at a termination of the redistribution layer trace so that the I/O pad extends through each of the plurality of electrically conductive layers to form an electrical junction between the termination of the redistribution layer trace and the I/O pad in each of the plurality of electrically conductive layers. The redistribution layer trace may also be slotted to divide current flow horizontally at the electrical junction between the termination of the redistribution layer trace and the I/O pad in each of the plurality of electrically conductive layers.
    Type: Grant
    Filed: December 20, 2002
    Date of Patent: November 16, 2004
    Assignee: LSI Logic Corporation
    Inventors: Atila Mertol, Senol Pekin
  • Patent number: 6812512
    Abstract: This invention is a process for manufacturing a random access memory array. Each memory cell within the array which results from the process incorporates a stacked capacitor, a silicon nitride coated access transistor gate electrode, and a self-aligned high-aspect-ratio digit line contact having a tungsten plug which extends from the substrate to a metal interconnect structure located at a level above the stacked capacitor. The contact opening is lined with titanium metal which is in contact with the substrate, and with titanium nitride that is in contact with the plug. Both the titanium metal and the titanium nitride are deposited via chemical vapor deposition reactions.
    Type: Grant
    Filed: April 10, 2001
    Date of Patent: November 2, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Kirk Prall, Howard E. Rhodes, Sujit Sharan, Gurtel Sandhu, Philip J. Ireland
  • Patent number: 6806572
    Abstract: A new method and structure for an improved contact using doped silicon is provided. The structures are integrated into several higher level embodiments. The improved contact has low contact resistivity. Improved junctions are thus provided between an IGFET device and subsequent metallization layers. The improvements are obtained through the use of a silicon-germanium (Si—Ge) alloy. The alloy can be formed from depositing germanium onto the substrate and subsequently annealing the contact or by selectively depositing the preformed alloy into a contact opening. The above advantages are incorporated with relatively few process steps.
    Type: Grant
    Filed: October 22, 2002
    Date of Patent: October 19, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Randhir P. S. Thakur