Resistive To Electromigration Or Diffusion Of The Contact Or Lead Material Patents (Class 257/767)
  • Patent number: 6577009
    Abstract: A semiconductor device includes a first metallization layer, a first diffusion barrier layer, a first etch stop layer, a dielectric layer and a via extending through the dielectric layer, the first etch stop layer, and the first diffusion barrier layer. The first diffusion barrier layer is disposed over the first metallization layer. The first etch stop layer is disposed over the first diffusion barrier layer, and the dielectric layer is disposed over the first etch stop layer. The via can also have rounded corners. A sidewall diffusion barrier layer can be disposed on sidewalls of the via, and the sidewall diffusion barrier layer is formed from the same material.as the first diffusion barrier layer. The first diffusion barrier layer can be formed from silicon carbide. A method of manufacturing the semiconductor device is also disclosed.
    Type: Grant
    Filed: February 6, 2001
    Date of Patent: June 10, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Lu You, Fei Wang, Minh Van Ngo
  • Patent number: 6573599
    Abstract: A method of manufacturing a semiconductor device having an improved ohmic contact system. The improved ohmic contact system comprises a thin reactive layer of platinum deposited on a portion of the base layer. The improved ohmic contact system further comprises a thick refractory layer of titanium or other suitable material deposited on the thin reactive layer. Both the reactive layer and the refractory layer are substantially free of gold. The improved ohmic contact system and method for forming the same eliminate base contact punchthrough on high performance semiconductor devices, such as heterojunction bipolar transistors, minimize raw material costs, and decrease manufacturing costs.
    Type: Grant
    Filed: May 26, 2000
    Date of Patent: June 3, 2003
    Assignee: Skyworks Solutions, Inc.
    Inventors: Richard S. Burton, Philip C. Canfield
  • Patent number: 6570251
    Abstract: The present invention relates to an improved method of forming and structure for under bump metallurgy (“UBM”) pads for a flip chip which reduces the number of metal layers and requires the use of only a single passivation layer to form, thus eliminating a masking step required in typical prior art processes. The method also includes repatterning bond pad locations.
    Type: Grant
    Filed: September 2, 1999
    Date of Patent: May 27, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Salman Akram, Alan G. Wood
  • Patent number: 6570256
    Abstract: A structure and method for an insulator layer having carbon-graded layers above a substrate is disclosed, wherein the concentration of carbon increases in each successive carbon-graded layer above the substrate. The insulator comprises a low-k dielectric having a dielectric constant less than 3.3. The carbon-graded layer increases adhesion between the substrate and the insulator and between the insulator and the conductor layer. The structure may also include stabilization interfaces between the carbon-graded layers. More specifically, the carbon-graded layers include a first layer adjacent the substrate having a carbon content between about 5% and 20%, a second layer above the first layer having a carbon content between about 10% and 30%, and a third layer above the second layer having a carbon content between about 20% and 40%.
    Type: Grant
    Filed: July 20, 2001
    Date of Patent: May 27, 2003
    Assignee: International Business Machines Corporation
    Inventors: Richard A. Conti, Prakash Chimanlal Dev, David M. Dobuzinsky, Daniel C. Edelstein, Gill Y. Lee, Kia-Seng Low, Padraic C. Shafer, Alexander Simpson, Peter Wrschka
  • Publication number: 20030089994
    Abstract: According to one embodiment, a solid state amplifying device is disclosed. The amplifying device comprises a first input bond pad and a first input connection bonded to the first input bond pad. The amplifying device also includes a second input bond pad and a second input connection bonded to the second input bond pad. An equivalent magnitude of current is supplied to the first and second input bond pads.
    Type: Application
    Filed: November 12, 2002
    Publication date: May 15, 2003
    Inventors: Douglas M. Macheel, Lee B. Max
  • Publication number: 20030089992
    Abstract: The present invention generally provides an improved process for depositing silicon carbide, using a silane-based material with certain process parameters, onto an electronic device, such as a semiconductor, that is useful for forming a suitable barrier layer, an etch stop, and a passivation layer for IC applications. As a barrier layer, in the preferred embodiment, the particular silicon carbide material is used to reduce the diffusion of copper and may also used to minimize the contribution of the barrier layer to the capacitive coupling between interconnect lines. It may also be used as an etch stop, for instance, below an intermetal dielectric (IMD) and especially if the IMD is a low k, silane-based IMD. In another embodiment, it may be used to provide a passivation layer, resistant to moisture and other adverse ambient conditions. Each of these aspects may be used in a dual damascene structure.
    Type: Application
    Filed: October 1, 1998
    Publication date: May 15, 2003
    Inventors: SUDHA RATHI, PING XU, CHRISTOPHER BENCHER, JUDY HUANG, KEGANG HUANG, CHRIS NGAI
  • Publication number: 20030089995
    Abstract: According to one embodiment, a solid state amplifying device is disclosed. The amplifying device comprises a first input bond pad and a first input connection bonded to the first input bond pad. The amplifying device also includes a second input bond pad and a second input connection bonded to the second input bond pad. An equivalent magnitude of current is supplied to the first and second input bond pads.
    Type: Application
    Filed: November 12, 2002
    Publication date: May 15, 2003
    Inventors: Douglas M. Macheel, Lee B. Max
  • Patent number: 6563222
    Abstract: A method for making a semiconductor chip includes disposing copper interconnects adjacent via channels and then doping only the portions of the interconnects that lie directly beneath the via channels. Then, the via channels are filled with electrically conductive material. The impurities with which the interconnects are locally doped reduce unwanted electromigration of copper atoms at the interconnect-via interfaces, while not unduly increasing line resistance in the interconnects.
    Type: Grant
    Filed: October 24, 2001
    Date of Patent: May 13, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Takeshi Nogami, Sergey Lopatin
  • Publication number: 20030075804
    Abstract: A wirebond structure includes a copper pad formed on or in a surface of a microelectronic die. A conductive layer is included in contact with the copper pad and a bond wire is bonded to the conductive layer. The conductive layer is formed of a material to provide a stable contact between the bond wire and the copper pad in at least one of an oxidizing environment and an environment with temperatures up to at least about 350° C.
    Type: Application
    Filed: October 18, 2001
    Publication date: April 24, 2003
    Applicant: Intel Corporation
    Inventors: Robert J. Gleixner, Donald Danielson, Patrick M. Paluda, Rajan Naik
  • Patent number: 6552434
    Abstract: A semiconductor device manufacturing method of the this invention having the step of forming an interlayer insulating film on a semiconductor substrate, the step of making interconnection groove in the interlayer insulating film, the step of filling the inside of the interconnection groove with a conductive film which is made of a first substance and is thicker than the depth of the interconnection groove, the step of thermally stabilizing the size of crystal grains in an Al film either at the same time or after the Al film has been formed, the step of forming a Cu film on the Al film, the step of selectively forming &thgr; phase layers in a crystal grain boundary of the Al film by causing Cu to selectively diffuse into the crystal grain boundary of Al film and of allowing the &thgr; phase layers to divide the Al film in the interconnection groove into fine Al interconnections shorter than the Blech critical length, and the step of removing the Al film and Cu film outside the interconnection groove.
    Type: Grant
    Filed: February 5, 2002
    Date of Patent: April 22, 2003
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masahiko Hasunuma, Hisashi Kaneko, Shohei Shima, Sachiyo Ito
  • Publication number: 20030071355
    Abstract: An apparatus including a substrate comprising a device having contact point; a dielectric layer overlying the device with an opening to the contact point; and an interconnect structure disposed in the opening including an interconnect material and a different conductive shunt material.
    Type: Application
    Filed: November 7, 2002
    Publication date: April 17, 2003
    Inventors: Valery M. Dubin, Christopher D. Thomas, Paul McGregor, Madhav Datta
  • Patent number: 6548898
    Abstract: A structure in which a phosphorus-nickel layer, a rich phosphorus nickel layer that contains phosphorus or boron higher than this phosphorus-nickel layer, a nickel-tin ally layer, a tin-rich tin alloy layer, and a tin alloy solder layer are formed in sequence on an electrode. Accordingly, adhesiveness between a metal pattern used as the electrode, the wiring, or the pad and the solder can be improved.
    Type: Grant
    Filed: July 2, 2001
    Date of Patent: April 15, 2003
    Assignee: Fujitsu Limited
    Inventors: Hirohisa Matsuki, Yutaka Makino, Masamitsu Ikumo, Mitsutaka Sato, Tetsuya Fujisawa, Yoshitaka Aiba
  • Patent number: 6545342
    Abstract: A leadframe for use with integrated circuit chips comprising a base metal, usually copper or a copper alloy, having a modified surface adapted to provide bondability and solderability and adhesion to polymeric compounds. The modified surface comprises a layer created by converting a percentage of base metal atoms into substitutional metal complexes, usually hydrated chromates. A thin layer of plated copper may be employed for controlling uniformity and consistency of the replacement reaction.
    Type: Grant
    Filed: May 1, 2000
    Date of Patent: April 8, 2003
    Assignee: Texas Instruments Incorporated
    Inventor: Donald C. Abbott
  • Patent number: 6541861
    Abstract: A semiconductor manufacturing method has the steps of preparing an SOI substrate having a supporting substrate, an insulating film formed above the supporting substrate, a semiconductor region formed above the insulating film, and an intermediate layer formed between the supporting substrate and the insulating film, forming a semiconductor element in the semiconductor region, and removing the intermediate layer to separate the supporting substrate and the semiconductor region in which the semiconductor element is formed.
    Type: Grant
    Filed: June 29, 2001
    Date of Patent: April 1, 2003
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kazuyuki Higashi, Tamao Takase, Hideki Shibata
  • Publication number: 20030047812
    Abstract: Disclosed is a thin film aluminum alloy which is limited in the generation of hillocks while maintaining a low specific resistance and hardness irrespective of annealing temperature. In order to obtain the thin film aluminum alloy having a Vickers hardness of 30 Hv or less and a film stress (absolute value indication) of 30 kg/mm2 or less when performing annealing treatment at a temperature ranging from 25° C. to 500° C., wherein said hardness and said film stress are distributed in a predetermined hardness range and in a predetermined film stress range respectively within the temperature range of the above-mentioned annealing treatment and are respectively almost constant against annealing temperature, the thin film aluminum alloy being formed as a film on a substrate by a sputtering method using a sputtering target having a composition comprising 0.5 to 15 atom % of one or more types selected from Ag, Cu, Mg and Zn and 0.
    Type: Application
    Filed: August 27, 2002
    Publication date: March 13, 2003
    Applicant: VACUUM METALLURGICAL CO., LTD. (SHINKUU YAKIN KABUSHIKI KAISHA)
    Inventors: Junichiro Hagihara, Ichiro Tokuda
  • Publication number: 20030047808
    Abstract: Container structures for use in integrated circuits and methods of their manufacture. The container structures include a bottom conductive layer, a top conductive layer and a dielectric layer interposed between the bottom conductive layer and the top conductive layer. The container structures further include a diffusion barrier layer interposed between the dielectric layer and the bottom conductive layer. The diffusion barrier layer acts to inhibit atomic diffusion to at least a portion of the bottom conductive layer, particularly atomic diffusion of oxygen during formation or annealing of the dielectric layer. The container structures are especially adapted for use as container capacitors. The container capacitors are further adapted for use in memory cells and apparatus incorporating such memory cells, as well as other integrated circuits.
    Type: Application
    Filed: October 9, 2002
    Publication date: March 13, 2003
    Applicant: Micron Technology, Inc.
    Inventor: Vishnu K. Agarwal
  • Patent number: 6531777
    Abstract: A structure and method for determining barrier layer integrity for multi-level copper metallization structures in integrated circuit manufacturing. Novel testing structures prevent any conducting residues of the copper CMP from diffusing into the dielectric layer. Barrier layer integrity is tested by performing leakage or other electrical measurements between copper features on two different metal levels.
    Type: Grant
    Filed: June 22, 2000
    Date of Patent: March 11, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Christy Mei-Chu Woo, Amit P. Marathe
  • Patent number: 6525384
    Abstract: Methods and apparatus for forming word line stacks comprise forming a thin nitride layer coupled between a bottom silicon layer and a conductor layer. In a further embodiment, a diffusion barrier layer is coupled between the thin nitride layer and the bottom silicon layer. The thin nitride layer is formed by annealing a silicon oxide film in a nitrogen-containing ambient.
    Type: Grant
    Filed: August 11, 1998
    Date of Patent: February 25, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Yongjun Hu, Randhir P. S. Thakur, Scott DeBoer
  • Patent number: 6518649
    Abstract: The interconnect pattern of the tape carrier in a COF is gold plated other than the part covered by solder resists. The inner leads of the tape carrier and the corresponding gold bumps of electrodes of the semiconductor chip are thermally compressed so that the inner leads penetrate into the gold bumps, thus creating gold-gold compression bonding.
    Type: Grant
    Filed: November 17, 2000
    Date of Patent: February 11, 2003
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Tomohiko Iwane, Kenji Toyosawa
  • Patent number: 6518647
    Abstract: A leadframe for use with integrated circuit chips, comprising a leadframe base made of aluminum or aluminum alloy having a surface layer of zinc; a first layer of nickel on said zinc layer, said first nickel layer deposited to be compatible with aluminum and zinc; a layer of an alloy of nickel and a noble metal on said first nickel layer; a second layer of nickel on said alloy layer, said second nickel layer deposited to be suitable for lead bending and solder attachment; and an outermost layer of noble metal, whereby said leadframe is suitable for solder attachment to other parts, for wire bonding, and for corrosion protection.
    Type: Grant
    Filed: March 8, 2000
    Date of Patent: February 11, 2003
    Assignee: Texas Instruments Incorporated
    Inventor: John P. Tellkamp
  • Patent number: 6515368
    Abstract: A method of reducing electromigration in copper interconnect lines by restricting Cu-diffusion pathways along a Cu surface via doping the Cu surface with Zn from an interim copper-zinc alloy (Cu—Zn) thin film electroplated on the copper (Cu) surface from a stable chemical solution, and controlling the Zn-doping thereof, which also improves interconnect reliability and corrosion resistance, and a semiconductor device thereby formed. The method involves using interim reduced-oxygen Cu—Zn alloy thin films for forming an encapsulated dual-inlaid interconnect structure. The films are formed by electroplating a Cu surface via by electroplating, the Cu surface in a unique chemical solution containing salts of Zn and Cu, their complexing agents, a pH adjuster, and surfactants; and annealing the interim electroplated Cu—Zn alloy thin films and a Cu-fill; and planarizing the interconnect structure.
    Type: Grant
    Filed: December 7, 2001
    Date of Patent: February 4, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Sergey Lopatin, Alexander H. Nickel
  • Publication number: 20030020168
    Abstract: A semiconductor device comprises a semiconductor substrate, an interlayer insulating layer formed above the semiconductor substrate, a first metal interconnection embedded in the interlayer insulating layer with a surface thereof exposed to the same plane as a surface of the interlayer insulating layer, a diffusion preventive layer formed on at least the first metal interconnection to prevent diffusion of a metal included in the first metal interconnection, a nitrogen-doped silicon oxide layer formed on the diffusion preventive layer, a fluorine-doped silicon oxide layer formed on the nitrogen-doped silicon oxide layer, and a second metal interconnection embedded in the fluorine-doped silicon oxide layer with a surface thereof exposed to the same plane as a surface of the fluorine-doped silicon oxide layer, and electrically connected to the first metal interconnection.
    Type: Application
    Filed: July 25, 2002
    Publication date: January 30, 2003
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Kei Watanabe, Yukio Nishiyama
  • Patent number: 6509649
    Abstract: First, a lower layer wiring is formed on a semiconductor substrate. Then, an interlayer insulating film is formed on the lower layer wiring. Next, a first Ti film is formed on the interlayer insulating film. Thereafter, a TiN film is formed on the first Ti film. Then, a via hole is formed in the TiN film, the first Ti film and the interlayer insulating film such as to reach the lower layer wiring. Then, a second Ti film and an Al or Al alloy film are sequentially formed in the via hole and on the TiN film. Next, a thermal treatment is carried out, thereby allowing Ti in the second Ti film and Al in the Al or Al alloy film to react with each other in a bottom of the via hole.
    Type: Grant
    Filed: February 7, 2000
    Date of Patent: January 21, 2003
    Assignee: NEC Corporation
    Inventor: Kazumi Sugai
  • Publication number: 20030001275
    Abstract: In the invention an electrically isolated copper interconnect structural interface is provided involving a single, about 50-300 A thick, alloy capping layer, that controls diffusion and electromigration of the interconnection components and reduces the overall effective dielectric constant of the interconnect; the capping layer being surrounded by a material referred to in the art as hard mask material that can provide a resist for subsequent reactive ion etching operations, and there is also provided the interdependent process steps involving electroless deposition in the fabrication of the structural interface. The single layer alloy metal barrier in the invention is an alloy of the general type A-X-Y, where A is a metal taken from the group of cobalt (Co) and nickel (Ni), X is a member taken from the group of tungsten (W), tin (Sn), and silicon (Si), and Y is a member taken from the group of phosphorous (P) and boron (B); having a thickness in the range of 50 to 300 Angstroms.
    Type: Application
    Filed: June 14, 2001
    Publication date: January 2, 2003
    Inventors: Carlos Juan Sambucetti, Xiaomeng Chen, Soon-Cheon Seo, Birendra Nath Agarwala, Chao-Kun Hu, Naftali Eliahu Lustig, Stephen Edward Greco
  • Patent number: 6486555
    Abstract: A method of fabricating a semiconductor device comprises the following steps (a) to (f): (a) a step of forming a the contact hole in an interlayer dielectric formed on a semiconductor substrate including an electronic element; (b) a degassing step for removing gaseous components included within the interlayer dielectric, by thermal processing under a reduced pressure at a substrate temperature of 300° C. to 550° C.; (c) a step of forming a barrier layer on the interlayer dielectric and the contact hole; (d) a step of cooling the substrate to a temperature of no more than 100° C.; (e) a step of forming a first aluminum layer on the barrier layer, at a temperature of no more than 200° C., including aluminum or an alloy in which aluminum is the main component; and (f) a step is of forming a second aluminum layer on the first aluminum layer, at a temperature of at least 300° C., including aluminum or an alloy in which aluminum is the main component.
    Type: Grant
    Filed: August 26, 1998
    Date of Patent: November 26, 2002
    Assignee: Seiko Epson Corporation
    Inventors: Michio Asahina, Junichi Takeuchi, Naohiro Moriya, Kazuki Matsumoto
  • Patent number: 6476488
    Abstract: A method for making a novel structure having borderless and self-aligned polysilicon and metal contact landing plugs for multilevel interconnections on integrated circuits is achieved. An etch-stop layer and a planar insulating layer are formed over the devices on a substrate. Contact openings are etched in the insulating layer to the etch-stop layer and the etch-stop layer is removed over the N− contact areas. An N+ doped polysilicon layer is deposited, and second contact openings are etched in the polysilicon and insulating layers over N+ and P+ contacts on the substrate to the etch-stop layer. The etch-stop layer is selectively removed and a conducting barrier layer and a metal layer are deposited having a second etch-stop layer on the surface. The layers are patterned to form interconnecting lines and concurrently to form polysilicon landing plugs to the N− contacts, while forming metal landing plugs to the N+ and P+ contacts.
    Type: Grant
    Filed: October 26, 2000
    Date of Patent: November 5, 2002
    Assignee: Vanguard International Semiconductor Corp.
    Inventors: Erik S. Jeng, Bi-Ling Chen, Chien-Sheng Hsieh
  • Publication number: 20020153616
    Abstract: A semiconductor device includes a silicon substrate with a resistivity being raised by diffusing Au etc. therein, and includes both active elements and passive elements. The active elements are all placed within a semiconductor chip, and the semiconductor chip is flip-chip mounted over the silicon substrate. Such a case where the silicon substrate is heated due to a heating process for forming the active elements can be avoided, and therefore, diffusion of Au etc. from the silicon substrate into the semiconductor device can be avoided.
    Type: Application
    Filed: April 15, 2002
    Publication date: October 24, 2002
    Inventors: Taketo Kunihisa, Toshihide Nobusada, Kazuhiro Yahata
  • Patent number: 6469388
    Abstract: A new method and structure for an improved contact using doped silicon is provided. The structures are integrated into several higher level embodiments. The improved contact has low contact resistivity. Improved junctions are thus provided between an IGFET device and subsequent metallization layers. The improvements are obtained through the use of a silicon-germanium (Si—Ge) alloy. The alloy can be formed from depositing germanium onto the substrate and subsequently annealing the contact or by selectively depositing the preformed alloy into a contact opening. The above advantages are incorporated with relatively few process steps.
    Type: Grant
    Filed: June 13, 2000
    Date of Patent: October 22, 2002
    Assignee: Micron Technology, Inc.
    Inventor: Randhir P. S. Thakur
  • Patent number: 6465887
    Abstract: An epitaxial barrier material provides not only a unique growth medium for growing single crystal structures of elemental metal thereon, but also provides an effective diffusion barrier at extremely thin thicknesses against migration of atoms from the metallization layer into an adjacent semiconductor substrate or low dielectric insulation layer. This invention is particularly advantageous for forming single crystal, transition metal conductor lines, contacts, filled trenches, and/or via plugs, and especially conductor structures based on transition metals of copper, silver, gold, or platinum. These metals are highly attractive for interconnect strategies on account of there respective low resistivity and high reliability characteristics. Processes for making the barrier film in a semiconductor device are also covered.
    Type: Grant
    Filed: May 3, 2000
    Date of Patent: October 15, 2002
    Assignee: The United States of America as represented by the Secretary of the Navy
    Inventors: Tak Kin Chu, Francisco Santiago, Kevin A. Boulais
  • Patent number: 6465828
    Abstract: Container structures for use in integrated circuits and methods of their manufacture. The container structures include a bottom conductive layer, a top conductive layer and a dielectric layer interposed between the bottom conductive layer and the top conductive layer. The container structures further include a diffusion barrier layer interposed between the dielectric layer and the bottom conductive layer. The diffusion barrier layer acts to inhibit atomic diffusion to at least a portion of the bottom conductive layer, particularly atomic diffusion of oxygen during formation or annealing of the dielectric layer. The container structures are especially adapted for use as container capacitors. The container capacitors are further adapted for use in memory cells and apparatus incorporating such memory cells, as well as other integrated circuits.
    Type: Grant
    Filed: July 30, 1999
    Date of Patent: October 15, 2002
    Assignee: Micron Technology, Inc.
    Inventor: Vishnu K. Agarwal
  • Publication number: 20020140103
    Abstract: The invention relates to a microelectronic device and a structure therein that includes a diffusion barrier layer having a first thickness and a first dielectric constant. An etch stop layer is disposed above and on the diffusion barrier layer. The etch stop layer has a second thickness and a second dielectric constant.
    Type: Application
    Filed: March 28, 2001
    Publication date: October 3, 2002
    Inventors: Grant Kloster, Jihperng Leu, Lawrence Wong, Andrew Ott, Patrick Morrow
  • Publication number: 20020135071
    Abstract: An integrated circuit device includes a substrate and an insulating layer that is disposed on the substrate and has a gap or hole formed therein. A liner layer that exhibits compressive stress characteristics is disposed on the sidewalls of the insulating layer, which define the gap, and also on the substrate in the gap. A contact plug that exhibits tensile stress characteristics is disposed on the liner layer. The compressive stress of the liner layer may reduce the tensile stress of the contact plug. Therefore, despite the tensile stress exhibited by the contact plug, the combination of the liner layer with the contact plug may inhibit the formation of cracks in the contact plug and/or in an interlayer dielectric film around the contact plug.
    Type: Application
    Filed: January 16, 2002
    Publication date: September 26, 2002
    Inventors: Sang-Bom Kang, Seong-Geon Park, Chang-Won Lee, Gil-Heyun Choi
  • Publication number: 20020135067
    Abstract: An Ir—M—O composite film has been provided that is useful in forming an electrode of a ferroelectric capacitor, where M includes a variety of refractory metals. The Ir combination film effectively prevents oxygen diffusion, and is resistant to high temperature annealing in oxygen environments. When used with an underlying barrier layer made from oxidizing the same variety of M transition metals, the resulting conductive barrier also suppresses the diffusion of Ir into any underlying Si substrates. As a result, Ir silicide products are not formed, which degrade the electrode interface characteristics. The Ir combination film remains conductive, not peeling or forming hillocks, during high temperature annealing processes, even in oxygen. The Ir—M—O conductive electrode/barrier structures are useful in nonvolatile MFMIS (metal/ferro/metal/insulator/silicon) memory devices, DRAMs, capacitors, pyroelectric infrared sensors, optical displays, and piezoelectric transducers.
    Type: Application
    Filed: April 2, 2002
    Publication date: September 26, 2002
    Inventors: Fengyan Zhang, Sheng Teng Hsu
  • Publication number: 20020132468
    Abstract: Highly porous, low-k dielectric materials are mechanically reinforced to enable the use of these low-k materials as intralayer and interlayer dielectrics in advanced integrated circuits such as those which incorporate highly porous materials in a Cu damascene interconnect technology. An integrated circuit, embodying such a mechanically reinforced dielectric layer generally includes a substrate having interconnected electrical elements therein, a copper-diffusion barrier or etch stop layer disposed over the substrate, the copper-diffusion barrier or etch stop layer being patterned so as to provide a plurality of electrically insulating structures, and a low-k dielectric layer disposed around the plurality of structures.
    Type: Application
    Filed: May 22, 2002
    Publication date: September 19, 2002
    Inventor: Lawrence D. Wong
  • Patent number: 6452270
    Abstract: A semiconductor device having bump electrodes mainly comprises a specialized under bump metallurgy (UBM) applied to a chip with copper contact pads. Typically, the chip comprises a substrate and at least one copper contact pad on the substrate. A passivation layer is formed over the substrate and has an opening positioned over the al least one copper contact pad. The UBM includes a titanium layer, a first copper layer, a nickel-vanadium layer and a second copper layer. The titanium layer forms a closed-loop surrounding the opening of the dielectric layer. The first copper layer is formed over the titanium layer and the opening of the dielectric layer such that the first copper layer directly contacts the copper contact pad. The nickel-vanadium layer is formed on the first copper layer and the second copper layer is formed on the nickel-vanadium layer. A metal bump is provided on the UBM over the copper contact pad thereby forming a bump electrode.
    Type: Grant
    Filed: January 19, 2001
    Date of Patent: September 17, 2002
    Assignee: Advanced Semiconductor Engineering, Inc.
    Inventor: Min-Lung Huang
  • Patent number: 6448655
    Abstract: A method for providing regions of substantially lower fluorine content in a fluorine containing dielectric is described incorporating exposing a region to ultraviolet radiation and annealing at an elevated temperature to remove partially disrupted fluorine from the region. The invention overcomes the problem of fluorine from a fluorine containing dielectric reacting with other materials while maintaining a bulk dielectric material of sufficiently high or original fluorine content to maintain an effective low dielectric constant in semiconductor chip wiring interconnect structures.
    Type: Grant
    Filed: April 28, 1998
    Date of Patent: September 10, 2002
    Assignee: International Business Machines Corporation
    Inventors: Katherina Babich, Alessandro Callegari, Stephen Alan Cohen, Alfred Grill, Christopher Vincent Jahnes, Vishnubhai Vitthalbhai Patel, Sampath Purushothaman, Katherine Lynn Saenger
  • Patent number: 6446873
    Abstract: Briefly, in accordance with one embodiment of the invention, a method of forming at least two vias, each having a metal overlap, to interconnect at least two connection points with metallization includes the following. The at least two vias are etched through a layer of insulating material. The at least two etched vias are located diagonally with respect to one another. Metal overlap for each of the at least two vias is formed into a polygon shape having more than four sides. Briefly, in accordance with another embodiment of the invention, an article includes: a storage medium, the storage medium having stored thereon, instructions, which, when executed, result in: the placement and routing of vias between at least two connection points to be interconnected with metallization by positioning at least two vias diagonally with respect to one another, the at least two vias being positioned so each is capable of having a polygon shape of metal overlap with more than four sides.
    Type: Grant
    Filed: October 20, 2000
    Date of Patent: September 10, 2002
    Assignee: Intel Corporation
    Inventor: Nathan Geryk
  • Patent number: 6445073
    Abstract: A semiconductor process and structure is provided for use in single or dual damascene metallization processes. A thin metal layer which serves as an etch stop and masking layer is deposited upon a first dielectric layer. Then, a second dielectric layer is deposited upon the thin metallization masking layer. The thin metallization masking layer provides an etch stop to form the bottom of the in-laid conductor grooves. In a dual damascene process, the thin metallization masking layer leaves open the via regions. Thus, the conductor grooves above the metallization masking layer and the via regions may be etched in the first and second dielectric in one step. In a single damascene process, the thin metallization etch masking layer may cover the via regions. The etch stop and masking layer can be formed from any conductive or non-conductive materials whose chemical, mechanical, thermal and electrical properties are compatible with the process and circuit performance.
    Type: Grant
    Filed: January 2, 1998
    Date of Patent: September 3, 2002
    Assignee: Newport Fab, LLC
    Inventor: Bin Zhao
  • Publication number: 20020113314
    Abstract: A conductive barrier, useful as a ferroelectric capacitor electrode, having high temperature stability has been provided. This conductive barrier permits the use of iridium (Ir) metal in IC processes involving annealing. Separating silicon substrate from Ir film with an intervening, adjacent, tantalum (Ta) film has been found to very effective in suppressing diffusion between layers. The Ir prevents the interdiffusion of oxygen into the silicon during annealing. A Ta or TaN layer prevents the diffusion of Ir into the silicon. This Ir/TaN structure protects the silicon interface so that adhesion, conductance, hillock, and peeling problems are minimized. The use of Ti overlying the Ir/TaN structure also helps prevent hillock formation during annealing. A method of forming a multilayer Ir conductive structure and Ir ferroelectric electrode are also provided.
    Type: Application
    Filed: April 22, 2002
    Publication date: August 22, 2002
    Inventors: Fengyan Zhang, Jer-Shen Maa, Sheng Teng Hsu
  • Publication number: 20020109234
    Abstract: A semiconductor device includes a lower copper line formed on a substrate, an interlayer insulating layer formed on the lower copper line, and an upper copper line formed on the interlayer insulating layer. A copper via contact extends through the interlayer insulating layer for electrically connecting the lower copper line and the upper copper line. A concave recess is formed within the lower copper line and is vertically aligned and arranged below the copper via contact. A patterned barrier layer is formed at a bottom portion of the concave recess, such that the lower copper line and the copper via contact are directly electrically connected at an interface along sides of the concave recess, without an intervening barrier layer.
    Type: Application
    Filed: February 7, 2002
    Publication date: August 15, 2002
    Inventors: Ki-Chul Park, Seung-Man Choi
  • Patent number: 6433387
    Abstract: Lateral bipolar transistor, in which a thin diffusion barrier (4) is applied to a base region (10) between an emitter region (9) and a collector region (11), and there is present, on said barrier, a base electrode (8) which is provided for low-resistance supply, is connected to a heavily doped base terminal region and consists of polysilicon, for example, into which dopant is diffused out from said base terminal region.
    Type: Grant
    Filed: May 22, 1997
    Date of Patent: August 13, 2002
    Assignee: Siemens Aktiengesellschaft
    Inventor: Martin Kerber
  • Patent number: 6433429
    Abstract: Interconnections including copper conductor lines, vias and Damascene lines comprise an insulator or dielectric having openings therein, a first adhesion promoting conductive barrier liner material on the walls and base of the opening, a first conductive layer on the first adhesion material layer, the first conductive layer having a predetermined cross-sectional area and having electromigration resistance, a second adhesion promoting/conductive barrier layer on the first conductive layer and a soft low resistant metal such as copper filling the remainder of the opening forming the line or via. These interconnections have enhanced operating and electromigration life particularly if copper is missing or partially missing in the copper interconnections due to the copper deposition process.
    Type: Grant
    Filed: September 1, 1999
    Date of Patent: August 13, 2002
    Assignee: International Business Machines Corporation
    Inventor: Anthony K. Stamper
  • Patent number: 6433435
    Abstract: A method for forming an aluminum contact through an insulating layer includes the formation of an opening. A barrier layer is formed, if necessary, over the insulating layer and in the opening. A thin refractory metal layer is then formed over the barrier layer, and aluminum deposited over the refractory metal layer. Proper selection of the refractory metal layer and aluminum deposition conditions allows the aluminum to flow into the contact and completely fill it. Preferably, the aluminum is deposited over the refractory metal layer without breaking vacuum.
    Type: Grant
    Filed: May 29, 1998
    Date of Patent: August 13, 2002
    Assignee: STMicroelectronics, Inc.
    Inventors: Yih-Shung Lin, Fu-Tai Liou
  • Publication number: 20020096768
    Abstract: A soft metal conductor for use in a semiconductor device which has an uppermost layer consisting of grains having grain sizes sufficiently large so as to provide a substantially scratch-free surface upon polishing in a subsequent polishing step. The invention also provides a method for making a soft metal conductor that has a substantially scratch-free surface upon polishing by a multi-step deposition process, i.e., first sputtering at a higher temperature and then sputtering at a lower temperature and followed by another high temperature sputtering process. The invention further discloses a method for forming a substantially scratch-free surface on a soft metal conductor by first depositing a soft metal layer at a low deposition temperature and then annealing the soft metal layer at a higher temperature to increase the grain size of the metal.
    Type: Application
    Filed: January 18, 2002
    Publication date: July 25, 2002
    Applicant: International Business Machines Corporation
    Inventor: Rajiv Vasant Joshi
  • Patent number: 6424045
    Abstract: In a method for forming a high aspect ratio structure using copper in an ultra high-speed device, the degree of copper burying is heightened. A high aspect ratio structure, such as a fine connecting hole, is formed in a layer insulating film on a silicon substrate. Then, after a CVD-TiN film is formed to have a thickness of 10 nm on the insulating film, a copper film having a thickness of 1 &mgr;g m is formed. In this case, the highly pure copper film is formed by controlling film-forming conditions so as to set oxygen and sulfur concentrations in the film equal to a fixed level or lower. Thus, during its burying in the connecting hole, the surface diffusibility and fluidity of the copper film heated by means of laser irradiation are facilitated.
    Type: Grant
    Filed: September 30, 1999
    Date of Patent: July 23, 2002
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Mitsutoshi Koyama, Takeshi Kubota
  • Patent number: 6420786
    Abstract: A method of constructing a conductive via spacer within a dielectric layer located between a first metal layer and a second metal layer includes the steps of depositing a conductive spacer layer within the opening and over the first metal layer. A portion of the conductive spacer layer is removed to leave a conductive spacer within the opening. The second metal layer is deposited over the spacer to complete the connection between the first and second metal layers. The spacer preferably comprises a material selected from the group comprising refractory metal silicides and nitrides. The spacer is preferably tapered and the via may include a glue layer to improve the adherence of the spacer to the dielectric layer.
    Type: Grant
    Filed: February 2, 1996
    Date of Patent: July 16, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Fernando Gonzalez, Guy Blalock
  • Publication number: 20020066957
    Abstract: The present invention is related to a metallization structure on a fluorine-containing dielectric and a method for fabrication thereof. This metallization structure comprises a conductive pattern; a fluorine-containing dielectric; and a barrier layer containing a material, i.e. a near noble metal such as Co, Ni, Pt and Pd, said barrier layer comprising at least a first part, being positioned between said fluorine-containing dielectric and said conductive pattern, said first part containing at least a first and a second sub-layer, said first sub-layer contacting said fluorine-containing dielectric and being impermeable for fluorine. Particularly by depositing a layer of said material on a fluorine-containing dielectric, a stable and thin layer of a fluoride of said material is formed in a self-limiting way.
    Type: Application
    Filed: August 13, 2001
    Publication date: June 6, 2002
    Inventors: Karen Maex, Mikhail Rodionovich Baklanov, Serge Vanhaelemeersch
  • Patent number: 6392302
    Abstract: A polycide structure for use in an integrated circuit comprises a silicon layer; a barrier layer comprising ZSix where x is greater than two and Z is chosen from the group consisting of tungsten, tantalum and molybdenum; and a metal silicide layer, preferably cobalt silicide. The structure is particularly useful in applications requiring high temperature processing. The structure may be used as a gate stack, especially in memory applications such as DRAM. The structure provides thermal stability, thus avoiding agglomeration problems associated with high temperature processing combined with low resistivity.
    Type: Grant
    Filed: November 20, 1998
    Date of Patent: May 21, 2002
    Assignee: Micron Technology, Inc.
    Inventor: Yongjun Jeff Hu
  • Patent number: 6388328
    Abstract: The present invention provides an interconnect system. The interconnect system includes a substrate, a first dielectric layer deposited upon the substrate. The interconnect system further includes at least two electrically conductive interconnect lines formed upon the first dielectric layer. Each of the at least two interconnect lines have a top surface and side surfaces. Adjacent side surfaces of two adjacent interconnect lines define therebetween a space that has a dielectric constant substantially equal to 1. A dielectric film is bonded upon the top surface of the at least two interconnect lines. The dielectric film substantially prevents obstruction of the space by further process.
    Type: Grant
    Filed: August 28, 1998
    Date of Patent: May 14, 2002
    Assignee: Intel Corporation
    Inventors: Brian Doyle, Quat T. Vu, Leopold Yau
  • Patent number: 6388269
    Abstract: The present invention provides a novel metal interconnection structure for evaluation on electromigration thereof, wherein a test metal interconnection to be evaluated on electromigration is connected through a plurality of bamboo-structured metal interconnections to a plug metal interconnection, and the bamboo-structured metal interconnection has a smaller sectioned area than the test metal interconnection while the plug metal interconnection has a larger sectioned area than the test metal interconnection.
    Type: Grant
    Filed: March 27, 2000
    Date of Patent: May 14, 2002
    Assignee: NEC Corporation
    Inventor: Yumi Saito