Thermal Treatment For Modifying The Properties Of Semiconductor Body, E.g., Annealing, Sintering (epo) Patents (Class 257/E21.324)
  • Patent number: 8263484
    Abstract: This method for manufacturing a high resistivity silicon wafer includes pulling a single crystal such that the single crystal has a p-type dopant concentration at which a wafer surface resistivity becomes in a range of 0.1 to 10 k ?cm, an oxygen concentration Oi of 5.0×1017 to 20×1017 atoms/cm3 (ASTM F-121, 1979), and a nitrogen concentration of 1.0×1013 to 10×1013 atoms/cm3 (ASTM F-121, 1979) by using a Czochralski method, processing the single crystal into wafers by slicing the single crystal, and subjecting the wafer to an oxygen out-diffusion heat treatment process in a non-oxidizing atmosphere.
    Type: Grant
    Filed: March 1, 2010
    Date of Patent: September 11, 2012
    Assignee: Sumco Corporation
    Inventor: Kazunari Kurita
  • Patent number: 8237202
    Abstract: Methods of manufacturing a semiconductor device include forming an NMOS transistor on a semiconductor substrate, forming a first interlayer dielectric layer on the NMOS transistor, and dehydrogenating the first interlayer dielectric layer. Dehydrogenating the first interlayer dielectric layer may change a stress of the first interlayer dielectric layer. In particular, the first interlayer dielectric layer may have a tensile stress of 200 MPa or more after dehydrogenization. Semiconductor devices including dehydrogenated interlayer dielectric layers are also provided.
    Type: Grant
    Filed: January 10, 2011
    Date of Patent: August 7, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yong-kuk Jeong, Andrew-tae Kim, Dong-suk Shin
  • Patent number: 8236709
    Abstract: A method of fabricating a device using a sequence of annealing processes is provided. More particularly, a logic NFET device fabricated using a low temperature anneal to eliminate dislocation defects, method of fabricating the NFET device and design structure is shown and described. The method includes forming a stress liner over a gate structure and subjecting the gate structure and stress liner to a low temperature anneal process to form a stacking force in single crystalline silicon near the gate structure as a way to memorized the stress effort. The method further includes stripping the stress liner from the gate structure and performing an activation anneal at high temperature on device.
    Type: Grant
    Filed: July 29, 2009
    Date of Patent: August 7, 2012
    Assignee: International Business Machines Corporation
    Inventors: Anthony G. Domenicucci, Terence L. Kane, Shreesh Narasimha, Karen A. Nummy, Viorel Ontalus, Yun-Yu Wang
  • Patent number: 8237264
    Abstract: A method of manufacturing a semiconductor device has forming a ferroelectric film over a substrate, placing the substrate having the ferroelectric film in a chamber substantially held in vacuum, introducing oxygen and an inert gas into the chamber, annealing the ferroelectric film in the chamber, and containing oxygen and the inert gas while the chamber is maintained sealed.
    Type: Grant
    Filed: January 20, 2011
    Date of Patent: August 7, 2012
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Kouichi Nagai
  • Patent number: 8232137
    Abstract: A semiconductor device assembly and method can include a single semiconductor layer or stacked semiconductor layers, for example semiconductor wafers or wafer sections (semiconductor dice). On each semiconductor layer, a diamond layer formed therethrough can aid in the routing and dissipation of heat. The diamond layer can include a first portion on the back of the semiconductor layer, and one or more second portions which extend vertically into the semiconductor layer, for example completely through the semiconductor layer. Thermal contact can then be made to the diamond layer to conduct heat away from the one or more semiconductor layers. A conductive via can be formed through the diamond layers to provide signal routing and heat dissipation capabilities.
    Type: Grant
    Filed: May 4, 2010
    Date of Patent: July 31, 2012
    Assignee: Intersil Americas Inc.
    Inventors: Stephen Joseph Gaul, Francois Hebert
  • Patent number: 8168972
    Abstract: A method for simultaneous recrystallization and doping of semiconductor layers, in particular for the production of crystalline silicon thin layer solar cells. A substrate base layer 1 is produced, and subsequently, an intermediate layer system 2 which has at least one doped partial layer is deposited on the base layer. An absorber layer 3 which is undoped or likewise doped is deposited on the intermediate layer system 2, and in a recrystallisation step, the absorber layer 3 is heated, melted, cooled and tempered. Alternately, instead of an undoped capping layer, a capping layer system 4 which has at least one partial layer can also be applied on the absorber layer 3.
    Type: Grant
    Filed: October 5, 2010
    Date of Patent: May 1, 2012
    Assignee: Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V.
    Inventor: Stefan Reber
  • Patent number: 8114786
    Abstract: Disclosed is a heat treatment unit 4 serving as a heat treatment apparatus, which includes a chamber 42 for containing a wafer W on which a low dielectric constant interlayer insulating film is formed, a formic acid supply device 44 for supplying gaseous formic acid into the chamber 42, and a heater 43 for heating the wafer W in the chamber 42 which is supplied with formic acid by the formic acid supply device 44.
    Type: Grant
    Filed: May 28, 2007
    Date of Patent: February 14, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Hidenori Miyoshi
  • Patent number: 8093640
    Abstract: A method and system for fabricating a stacked capacitor and a DMOS transistor are disclosed. In one aspect, the method and system include providing a bottom plate, an insulator, and an additional layer including first and second plates. The insulator covers at least a portion of the bottom plate and resides between the first and second top plates and the bottom plate. The first and second top plates are electrically coupled through the bottom plate. In another aspect, the method and system include forming a gate oxide. The method and system also include providing SV well(s) after the gate oxide is provided. A portion of the SV well(s) resides under a field oxide region of the device. Each SV well includes first, second, and third implants having a sufficient energy to provide the portion of the SV well at a desired depth under the field oxide region without significant additional thermal processing. A gate, source, and drain are also provided.
    Type: Grant
    Filed: July 13, 2009
    Date of Patent: January 10, 2012
    Assignee: Atmel Corporation
    Inventors: Stefan Schwantes, Volker Dudek, Michael Graf, Alan Renninger, James Shen
  • Patent number: 8093144
    Abstract: A technique for forming nanostructures including a definition of a charge pattern on a substrate and introduction of charged molecular scale sized building blocks (MSSBBs) to a region proximate the charge pattern so that the MSSBBs adhere to the charge pattern to form the feature.
    Type: Grant
    Filed: May 23, 2003
    Date of Patent: January 10, 2012
    Assignee: Massachusetts Institute of Technology
    Inventors: Joseph M. Jacobson, David Kong, Vikas Anant, Ashley Salomon, Saul Griffith, Will DelHagen, Vikrant Agnihotri
  • Patent number: 8076252
    Abstract: In a substrate processing method, a substrate to be processed is mounted on a mounting table in a processing chamber of a substrate processing apparatus, and while heating the substrate by a heating unit through the mounting table to a processing temperature of 700° C. or higher, the substrate is processed. The substrate to be processed is loaded into the processing chamber, a first preliminary heating is performed until the substrate reaches a prescribed temperature while being mounted on the mounting table. Then, substrate supporting pins of the mounting table are elevated, and a second preliminary heating is performed in a state where the substrate is held on the substrate supporting pins. Then, the substrate supporting pins are moved down to mount the substrate on the mounting table and a process such as plasma oxidation is performed thereon.
    Type: Grant
    Filed: July 28, 2006
    Date of Patent: December 13, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Koichi Takatsuki
  • Patent number: 8067296
    Abstract: The present invention provides a method of manufacturing a semiconductor device in which a thinned substrate of a semiconductor or semiconductor device is handled without cracks in the substrate and treated with heat to improve a contact between semiconductor back surface and metal in a high yield and a semiconductor device may be manufactured in a high yield. In the method of manufacturing a semiconductor device according to the present invention, a notched part is formed from a surface to a middle in a semiconductor substrate by dicing and the surface of the substrate is fixed to a support base. Next, a back surface of the substrate is ground to thin the semiconductor substrate and then a metal electrode and a carbon film that is a heat receiving layer are sequentially formed on the back surface of the substrate. Next, the carbon film is irradiated with light at a power density of 1 kW/cm2 to 1 MW/cm2 for a short time of 0.
    Type: Grant
    Filed: November 8, 2006
    Date of Patent: November 29, 2011
    Assignees: Success International Corporation, Hightec Systems Corporation
    Inventors: Yoshiyuki Kawana, Naoki Sano
  • Patent number: 8058085
    Abstract: N-V centers in diamond are created in a controlled manner. In one embodiment, a single crystal diamond is formed using a CVD process, and then annealed to remove N-V centers. A thin layer of single crystal diamond is then formed with a controlled number of N-V centers. The N-V centers form Qubits for use in electronic circuits. Masked and controlled ion implants, coupled with annealing are used in CVD formed diamond to create structures for both optical applications and nanoelectromechanical device formation. Waveguides may be formed optically coupled to the N-V centers and further coupled to sources and detectors of light to interact with the N-V centers.
    Type: Grant
    Filed: July 11, 2006
    Date of Patent: November 15, 2011
    Assignee: Apollo Diamond, Inc
    Inventors: Robert C. Linares, Patrick J. Doering, William W. Dromeshauser, Bryant Linares, Alfred R. Genis
  • Patent number: 8048783
    Abstract: A method of forming a polycrystalline silicon layer and an atomic layer deposition apparatus used for the same. The method includes forming an amorphous silicon layer on a substrate, exposing the substrate having the amorphous silicon layer to a hydrophilic or hydrophobic gas atmosphere, placing a mask having at least one open and at least one closed portion over the amorphous silicon layer, irradiating UV light toward the amorphous silicon layer and the mask using a UV lamp, depositing a crystallization-inducing metal on the amorphous silicon layer, and annealing the substrate to crystallize the amorphous silicon layer into a polycrystalline silicon layer. This method and apparatus provide for controlling the seed position and grain size in the formation of a polycrystalline silicon layer.
    Type: Grant
    Filed: February 26, 2010
    Date of Patent: November 1, 2011
    Assignee: Samsung Mobile Display Co., Ltd.
    Inventors: Yun-Mo Chung, Ki-Yong Lee, Min-Jae Jeong, Jin-Wook Seo, Jong-Won Hong, Heung-Yeol Na, Eu-Gene Kang, Seok-Rak Chang, Tae-Hoon Yang, Ji-Su Ahn, Young-Dae Kim, Byoung-Keon Park, Kil-Won Lee, Dong-Hyun Lee, Sang-Yon Yoon, Jong-Ryuk Park, Bo-Kyung Choi, Maxim Lisachenko
  • Patent number: 8030139
    Abstract: A method of producing a thin film transistor includes a gate electrode formation step that forms a gate electrode on a substrate, a gate insulating layer formation step that forms a gate insulating layer on the substrate in such a manner as to cover the gate electrode formed in the gate electrode formation step, a source/drain electrodes formation step that forms a source electrode and a drain electrode on the gate insulating layer, and a semiconductor layer formation step that applies an aqueous solution for semiconductor layer formation which is an aqueous solution comprising at least a single wall carbon nanotube and a surfactant between the source electrode and the drain electrode formed in the source/drain electrodes formation step by a coating process to form a semiconductor layer comprising the single wall carbon nanotube.
    Type: Grant
    Filed: March 25, 2009
    Date of Patent: October 4, 2011
    Assignee: Brother Kogyo Kabushiki Kaisha
    Inventors: Takeshi Asano, Taishi Takenobu, Masashi Shiraishi
  • Patent number: 8030099
    Abstract: The present disclosure is related to a method for determining time to failure characteristics of a microelectronics device. A test structure, being a parallel connection of a plurality of such on-chip interconnects, is provided. Measurements are performed on the test structure under test conditions for current density and temperature. The test structure is arranged such that failure of one of the on-chip interconnects within the parallel connection changes the test conditions for at least one of the other individual on-chip interconnects of the parallel connection. From these measurements, time to failure characteristics are determined, whereby the change in the test conditions is compensated for.
    Type: Grant
    Filed: May 11, 2005
    Date of Patent: October 4, 2011
    Assignees: IMEC, Universiteit Hasselt
    Inventor: Ward De Ceuninck
  • Patent number: 8030225
    Abstract: A heat treatment method which can prevent heat deformation of a substrate caused during a heat treatment process on the substrate with a thin film formed on its surface is provided. The heat treatment method in accordance with the present invention includes (a) stacking a second substrate 10b on a first substrate 10a; and (b) stacking a weight 20 on the second substrate 10b, wherein the first substrate 10a and the second substrate 10b are stacked, with thin films 12 of the substrates 10a and 10b being in contact with each other. In accordance with the present invention, deformation of the substrate can be prevented by stacking the substrates, with thin films formed on the substrates being in contact with each other, and placing a weight on the stacked substrates during the heat treatment process.
    Type: Grant
    Filed: March 16, 2009
    Date of Patent: October 4, 2011
    Assignee: TG Solar Corporation
    Inventors: In Goo Jang, Yoo Jin Lee, Dong Jee Kim
  • Patent number: 8008171
    Abstract: Disclosed is a method of providing a poly-Si layer used in fabricating poly-Si TFT's or devices containing poly-Si layers. Particularly, a method utilizing at least one metal plate covering the amorphous silicon layer or the substrate, and applying RTA (Rapid Thermal Annealing) for light illuminating process, then the light converted into heat by the metal plate will further be conducted to the amorphous silicon layer to realize rapid thermal crystallization. Thus the poly-Si layer of the present invention is obtained.
    Type: Grant
    Filed: June 9, 2008
    Date of Patent: August 30, 2011
    Assignees: Tatung Company, Tatung University
    Inventors: Chiung-Wei Lin, Yi-Liang Chen
  • Patent number: 7998848
    Abstract: The laser beam with a wavelength having a higher energy than the band gap energy of the material forming the carrier moving layer is irradiated to activate the impurities contained in the constituent layer of the field effect transistor in the method of producing the field effect transistor. The method of the invention does not apply the heating of the substrate or the sample stage to raise the temperature of the semiconductor layer using the thermal conductivity so as to activate the impurities. Thus, the implanted impurities can be activated without deteriorating the performance of the device and reliability.
    Type: Grant
    Filed: March 26, 2009
    Date of Patent: August 16, 2011
    Assignee: Furukawa Electric Co., Ltd.
    Inventors: Yuki Niiyama, Seikoh Yoshida, Masatoshi Ikeda, legal representative, Hiroshi Kambayashi, Takehiko Nomura
  • Patent number: 7998789
    Abstract: A method and a system for forming a copper indium gallium sulfur selenide (CIGSSe) absorption layer and a cadmium sulfide (CdS) buffer layer under non-vacuum condition is disclosed. A coating layer is formed on the back electrode layer on the substrate by mixing the slurry on the back electrode layer, and the coating layer formed on the back electrode layer is densified by a densification device after initially dried, and then a primary selenization/sulfurization reaction process is carried out to form a primary CIGSSe layer, and then a thermal process is carried out to improve the lattice match of the primary CIGSSe layer, and then an impurity cleaning process is carried out by using potassium cyanide or bromide to remove the impurities of cuprous selenide and copper sulfide, and then a rear-stage selenization/sulfurization reaction process is carried out to produce the required rear-stage CIGSSe absorption layer.
    Type: Grant
    Filed: April 16, 2010
    Date of Patent: August 16, 2011
    Assignee: Jenn Feng New Energy Co., Ltd.
    Inventor: Chuan-Lung Chuang
  • Patent number: 7977256
    Abstract: A method of forming a porous low dielectric constant (low-k) dielectric film on a substrate is described, wherein the dielectric constant of the low-k dielectric film is less than a value of approximately 4. The method comprises exposing the low-k dielectric film to infrared (IR) radiation and adjusting a residual amount of cross-linking inhibitor, such as pore-generating material, within the low-k dielectric film.
    Type: Grant
    Filed: March 6, 2008
    Date of Patent: July 12, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Junjun Liu, Dorel I. Toma, Eric M. Lee
  • Patent number: 7977216
    Abstract: Provided is a silicon wafer including: a first denuded zone formed with a predetermined depth from a top surface of the silicon wafer; and a bulk area formed between the first denuded zone and a backside of the silicon wafer, wherein the first denuded zone is formed with a depth ranging from approximately 20 um to approximately 80 um from the top surface, and wherein a concentration of oxygen in the bulk area is uniformly distributed within a variation of 10% over the bulk area.
    Type: Grant
    Filed: July 10, 2009
    Date of Patent: July 12, 2011
    Assignee: Magnachip Semiconductor, Ltd.
    Inventor: Jung-Goo Park
  • Patent number: 7960231
    Abstract: A method of forming a semiconductor memory device includes forming a tunnel insulating layer on a semiconductor substrate, and forming a silicon layer, including metal material, on the tunnel insulating layer. Accordingly, an increase in the strain energy of the conductive layer may be prohibited and, therefore, the growth of grains constituting the conductive layer may be prevented. Furthermore, a threshold voltage distribution characteristic and electrical properties of a semiconductor memory device may be improved.
    Type: Grant
    Filed: June 27, 2008
    Date of Patent: June 14, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventors: Jung Geun Kim, Seong Hwan Myung, Eun Soo Kim
  • Patent number: 7947584
    Abstract: The present invention generally relates to a thermal processing apparatus and method that permits a user to index one or more preselected light sources capable of emitting one or more wavelengths to a collimator. Multiple light sources may permit a single apparatus to have the capability of emitting multiple, preselected wavelengths. The multiple light sources permit the user to utilize multiple wavelengths simultaneously to approximate “white light”. One or more of a frequency, intensity, and time of exposure may be selected for the wavelength to be emitted. Thus, the capabilities of the apparatus and method are flexible to meet the needs of the user.
    Type: Grant
    Filed: June 19, 2008
    Date of Patent: May 24, 2011
    Assignee: Applied Materials, Inc.
    Inventor: Stephen Moffatt
  • Patent number: 7943468
    Abstract: A semiconductor device and method to form a semiconductor device is described. The semiconductor includes a gate stack disposed on a substrate. Tip regions are disposed in the substrate on either side of the gate stack. Halo regions are disposed in the substrate adjacent the tip regions. A threshold voltage implant region is disposed in the substrate directly below the gate stack. The concentration of dopant impurity atoms of a particular conductivity type is approximately the same in both the threshold voltage implant region as in the halo regions. The method includes a dopant impurity implant technique having sufficient strength to penetrate a gate stack.
    Type: Grant
    Filed: March 31, 2008
    Date of Patent: May 17, 2011
    Assignee: Intel Corporation
    Inventors: Giuseppe Curello, Ian R. Post, Nick Lindert, Walid M. Hafez, Chia-Hong Jan, Mark T. Bohr
  • Patent number: 7935980
    Abstract: A semiconductor light-emitting device having a high light emission property and preventing an electrode from being peeled off during wire bonding. Also disclosed is a method of manufacturing a semiconductor light-emitting device 1 in which an n-type semiconductor layer (13), a light-emitting layer (14), and a p-type semiconductor layer (15) are formed on a substrate (11), a transparent positive electrode (16) is formed on the p-type semiconductor layer (15), a positive electrode bonding pad (17) is formed on the transparent positive electrode (16), and a negative electrode bonding pad (18) is formed on the n-type semiconductor layer (13).
    Type: Grant
    Filed: April 13, 2007
    Date of Patent: May 3, 2011
    Assignee: Showa Denko K.K.
    Inventors: Kenzo Hanawa, Yasunori Yokoyama
  • Patent number: 7927988
    Abstract: Provided is a method of fabricating a semiconductor device. The method includes forming a first layer, a second layer, an ion implantation layer between the first and second layers, and an anti-oxidation layer on the second layer, and performing a heat treating process to form an insulating layer between the first and second layers while preventing loss of the second layer using the anti-oxidation layer.
    Type: Grant
    Filed: June 21, 2009
    Date of Patent: April 19, 2011
    Assignee: Electronics and Telecommunications Research Institute
    Inventors: In-Gyoo Kim, O-Kyun Kwon, Dong-Woo Suh, Gyung-Ock Kim
  • Patent number: 7923317
    Abstract: To crystallize a material, a thin layer of amorphous or polycrystalline material is deposited on at least one area of the surface of a top part of a substrate. A metal layer is then deposited on at least one area of the thin layer. Thermal treatment is then performed to enable crystalline growth of the material of the thin layer, resulting in: a rapid temperature increase of the top part of the substrate until liquid or overmelted liquid state is achieved, and heat transfer from the interface between the top part of the substrate and the thin layer to the interface between the thin layer and the metal layer.
    Type: Grant
    Filed: November 28, 2008
    Date of Patent: April 12, 2011
    Assignee: Commissariat a l'Energie Atomique
    Inventor: Philippe Bouchut
  • Patent number: 7906402
    Abstract: Methods for compensating for a thermal profile in a substrate heating process are provided herein. In some embodiments, a method of processing a substrate includes determining an initial thermal profile of a substrate that would result from subjecting the substrate to a process; determining a compensatory thermal profile based upon the initial thermal profile and a desired thermal profile; imposing the compensatory thermal profile on the substrate prior to performing the process on the substrate; and performing the process to create the desired thermal profile on the substrate. The initial substrate thermal profile can also be compensated for by adjusting a local mass heated per unit area, a local heat capacity per unit area, or an absorptivity or reflectivity of a component proximate the substrate prior to performing the process. Heat provided by an edge ring to the substrate may be controlled prior to or during the substrate heating process.
    Type: Grant
    Filed: October 4, 2009
    Date of Patent: March 15, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Joseph M. Ranish, Bruce E. Adams
  • Patent number: 7897414
    Abstract: A method of manufacturing a semiconductor device has forming a ferroelectric film over a substrate, placing the substrate having the ferroelectric film in a chamber substantially held in vacuum, introducing oxygen and an inert gas into the chamber, annealing the ferroelectric film in the chamber, and containing oxygen and the inert gas while the chamber is maintained sealed.
    Type: Grant
    Filed: January 8, 2009
    Date of Patent: March 1, 2011
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Kouichi Nagai
  • Patent number: 7897503
    Abstract: A device having the capability for electrical, thermal, optical, and fluidic interconnections to various layers. Through-substrate vias in the interconnect device are filled to enable electrical and thermal connection or optionally hermetically sealed relative to other surfaces to enable fluidic or optical connection. Optionally, optical components may be placed within the via region in order to manipulate optical signals. Redistribution of electrical interconnection is accomplished on both top and bottom surfaces of the substrate of the interconnect chip.
    Type: Grant
    Filed: May 12, 2006
    Date of Patent: March 1, 2011
    Assignee: The Board of Trustees of the University of Arkansas
    Inventors: Ron B. Foster, Ajay P. Malshe, Matthew W. Kelley
  • Publication number: 20110039421
    Abstract: A heat treatment method which can prevent heat deformation of a substrate caused during a heat treatment process on the substrate with a thin film formed on its surface is provided. The heat treatment method in accordance with the present invention includes (a) stacking a second substrate 10b on a first substrate 10a; and (b) stacking a weight 20 on the second substrate 10b, wherein the first substrate 10a and the second substrate 10b are stacked, with thin films 12 of the substrates 10a and 10b being in contact with each other. In accordance with the present invention, deformation of the substrate can be prevented by stacking the substrates, with thin films formed on the substrates being in contact with each other, and placing a weight on the stacked substrates during the heat treatment process.
    Type: Application
    Filed: March 16, 2009
    Publication date: February 17, 2011
    Applicant: TG SOLAR CORPORATION
    Inventors: In Goo Jang, Yoo Jin Lee, Dong Jee Kim
  • Patent number: 7867920
    Abstract: There is provided a method for modifying a high-k dielectric thin film provided on the surface of an object using a metal organic compound material. The method includes a preparation process for providing the object with the high-k dielectric thin film formed on the surface thereof, and a modification process for applying UV rays to the highly dielectric thin film in an inert gas atmosphere while maintaining the object at a predetermined temperature to modify the high-k dielectric thin film. According to the above constitution, the carbon component can be eliminated from the high-k dielectric thin film, and the whole material can be thermally shrunk to improve the density, whereby the occurrence of defects can be prevented and the film density can be improved to enhance the specific permittivity and thus to provide a high level of electric properties.
    Type: Grant
    Filed: November 22, 2006
    Date of Patent: January 11, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Kazuyoshi Yamazaki, Shintaro Aoyama, Koji Akiyama
  • Patent number: 7858508
    Abstract: In a method of manufacturing a semiconductor device, a trench is formed to have an upper quadrangular section and a lower circular section which is formed through a hydrogen annealing process, to extend in a depth direction of a semiconductor substrate. An insulating film is formed on a surface of the trench and a surface of the semiconductor substrate. A conductive film is formed to fill the trench whose surface is covered with the an insulating film. Source/drain regions are formed on both sides of the trench.
    Type: Grant
    Filed: March 14, 2007
    Date of Patent: December 28, 2010
    Assignee: Elpida Memory, Inc.
    Inventors: Hiroyuki Fujimoto, Yasuhiko Ueda, Fumiki Aiso, Yuki Koga
  • Patent number: 7833845
    Abstract: An object is to provide a manufacturing method of a microcrystalline semiconductor film with favorable quality over a large-area substrate. After forming a gate insulating film over a gate electrode, in order to improve quality of a microcrystalline semiconductor film formed in an initial stage, glow discharge plasma is generated by supplying high-frequency powers with different frequencies, and a lower part of the film near an interface with the gate insulating film is formed under a first film formation condition, which is low in film formation rate but results in a good quality film. Thereafter, an upper part of the film is deposited under a second film formation condition with higher film formation rate, and further, a buffer layer is stacked on the microcrystalline semiconductor film.
    Type: Grant
    Filed: August 12, 2008
    Date of Patent: November 16, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Sachiaki Teduka, Satoshi Toriumi, Makoto Furuno, Yasuhiro Jinbo, Koji Dairiki, Hideaki Kuwabara
  • Patent number: 7829446
    Abstract: A method for dividing a wafer into a plurality of chips is provided. The method includes providing recesses in a surface of the wafer at positions along boundaries between regions to become the individual chips, providing fragile portions having a predetermined width inside the wafer at positions along the boundaries by irradiation of the other surface of the wafer with a laser beam whose condensing point is placed inside the wafer, the fragile portions including connected portions at least at one of the surfaces of the wafer, and dividing the wafer at the fragile portions into the individual chips by applying an external force to the wafer.
    Type: Grant
    Filed: November 8, 2007
    Date of Patent: November 9, 2010
    Assignee: Seiko Epson Corporation
    Inventors: Wataru Takahashi, Yoshinao Miyata, Kazushige Umetsu, Yutaka Yamazaki
  • Patent number: 7825476
    Abstract: A method of fabricating a polycrystalline silicon (poly-Si) layer includes providing a substrate, forming an amorphous silicon (a-Si) layer on the substrate, forming a thermal oxide layer to a thickness of about 10 to 50 ? on the a-Si layer, forming a metal catalyst layer on the thermal oxide layer, and annealing the substrate to crystallize the a-Si layer into the poly-Si layer using a metal catalyst of the metal catalyst layer. Thus, the a-Si layer can be crystallized into a poly-Si layer by a super grain silicon (SGS) crystallization method. Also, the thermal oxide layer may be formed during the dehydrogenation of the a-Si layer so that an additional process of forming a capping layer required for the SGS crystallization method can be omitted, thereby simplifying the fabrication process.
    Type: Grant
    Filed: June 19, 2008
    Date of Patent: November 2, 2010
    Assignee: Samsung Mobile Display Co., Ltd.
    Inventors: Tae-Hoon Yang, Ki-Yong Lee, Jin-Wook Seo, Byoung-Keon Park, Kil-Won Lee
  • Patent number: 7825458
    Abstract: A nonvolatile semiconductor memory includes a source area and a drain area provided on a semiconductor substrate with a gap which serves as a channel area, a first insulating layer, a charge accumulating layer, a second insulating layer (block layer) and a control electrode, formed successively on the channel area, and the second insulating layer is formed by adding an appropriate amount of high valence substance into base material composed of substance having a sufficiently higher dielectric constant than the first insulating layer so as to accumulate a large amount of negative charges in the block layer by localized state capable of trapping electrons, so that the high dielectric constant of the block layer and the high electronic barrier are achieved at the same time.
    Type: Grant
    Filed: March 18, 2008
    Date of Patent: November 2, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Tatsuo Shimizu, Koichi Muraoka, Masato Koyama, Shoko Kikuchi
  • Patent number: 7820518
    Abstract: Methods of fabricating transistors and semiconductor devices and structures thereof are disclosed. In one embodiment, a method of fabricating a transistor includes forming a gate dielectric over a workpiece, forming a gate over the gate dielectric, and forming a stress-inducing material over the gate, the gate dielectric, and the workpiece. Sidewall spacers are formed from the stress-inducing material on sidewalls of the gate and the gate dielectric.
    Type: Grant
    Filed: May 29, 2008
    Date of Patent: October 26, 2010
    Assignee: Infineon Technologies AG
    Inventors: Manfred Eller, Jiang Yan, Jin-Ping Han, Alois Gutmann
  • Patent number: 7820532
    Abstract: Method for simultaneously forming doped regions having different conductivity-determining type elements profiles are provided. In one exemplary embodiment, a method comprises the steps of diffusing first conductivity-determining type elements into a first region of a semiconductor material from a first dopant to form a doped first region. Second conductivity-determining type elements are simultaneously diffused into a second region of the semiconductor material from a second dopant to form a doped second region. The first conductivity-determining type elements are of the same conductivity-determining type as the second conductivity-determining type elements. The doped first region has a dopant profile that is different from a dopant profile of the doped second region.
    Type: Grant
    Filed: December 29, 2008
    Date of Patent: October 26, 2010
    Assignee: Honeywell International Inc.
    Inventors: Roger Yu-Kwan Leung, Nicole Rutherford, Anil Bhanap
  • Patent number: 7807573
    Abstract: Methods of forming a microelectronic structure are described. Embodiments of those methods include forming an identification mark on a portion of a backside of an individual die of a wafer by utilizing laser assisted CVD, wherein the formation of the identification mark is localized to a focal spot of the laser.
    Type: Grant
    Filed: September 17, 2008
    Date of Patent: October 5, 2010
    Assignee: Intel Corporation
    Inventors: Eric Li, Sergei Voronov
  • Patent number: 7795119
    Abstract: A structure and a method for mitigation of the damage arising in the source/drain region of a MOSFET is presented. A substrate is provided having a gate structure comprising a gate oxide layer and a gate electrode layer, and a source and drain region into which impurity ions have been implanted. A PAI process generates an amorphous layer within the source and drain region. A metal is deposited and is reacted to create a silicide within the amorphous layer, without exacerbating existing defects. Conductivity of the source and drain region is then recovered by flash annealing the substrate.
    Type: Grant
    Filed: July 17, 2007
    Date of Patent: September 14, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chia Ping Lo, Jerry Lai, Chii-Ming Wu, Mei-Yun Wang, Da-Wen Lin
  • Patent number: 7790535
    Abstract: A semiconductor device and a method of manufacturing are provided. A dielectric layer is formed over a substrate, and a first silicon-containing layer, undoped, is formed over the dielectric layer. Atomic-layer doping is used to dope the undoped silicon-containing layer. A second silicon-containing layer is formed over first silicon-containing layer. The process may be expanded to include forming a PMOS and NMOS device on the same wafer. For example, the first silicon-containing layer may be thinned in the PMOS region prior to the atomic-layer doping. In the NMOS region, the doped portion of the first silicon-containing layer is removed such that the remaining portion of the first silicon-containing layer in the NMOS is undoped. Thereafter, another atomic-layer doping process may be used to dope the first silicon-containing layer in the NMOS region to a different conductivity type. A third silicon-containing layer may be formed doped to the respective conductivity type.
    Type: Grant
    Filed: September 16, 2008
    Date of Patent: September 7, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jing-Cheng Lin, Chen-Hua Yu
  • Patent number: 7785993
    Abstract: A method of forming a Si strained layer 16 on a Si substrate 10 includes forming a first SiGe buffer layer 12 on the Si substrate 10. Then, the first SiGe buffer layer is implanted with an amorphising implant to render the first SiGe buffer layer amorphous using ion implantation. A second SiGe buffer layer 14 is grown on the first SiGe buffer layer after annealing. This produces a relaxed SiGe layer 12, 14. Then, the strained layer of Si 16 is grown.
    Type: Grant
    Filed: October 28, 2005
    Date of Patent: August 31, 2010
    Assignee: NXP B.V.
    Inventors: Bartlomiej J Pawlak, Philippe Meunier-Beillard
  • Patent number: 7772077
    Abstract: A method of forming a semiconductor structure comprises providing a semiconductor substrate comprising a first transistor element and a second transistor element. The first transistor element comprises at least one first amorphous region and the second transistor element comprises at least one second amorphous region. A stress-creating layer is formed over the first transistor element. The stress-creating layer does not cover the second transistor element. A first annealing process is performed. The first annealing process is adapted to re-crystallize the first amorphous region and the second amorphous region. After the first annealing process, a second annealing process is performed. The stress-creating layer remains on the semiconductor substrate during the second annealing process.
    Type: Grant
    Filed: May 18, 2007
    Date of Patent: August 10, 2010
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Andreas Gehring, Andy Wei, Anthony Mowry, Manuj Rathor
  • Patent number: 7772123
    Abstract: A structure and method of forming through substrate vias in forming semiconductor components are described. In one embodiment, the invention describes a method of forming the through substrate via by filling an opening with a first fill material and depositing a first insulating layer over the first fill material, the first insulating layer not being deposited on sidewalls of the fill material in the opening, wherein sidewalls of the first insulating layer form a gap over the opening. The method further includes forming a void by sealing the opening using a second insulating layer.
    Type: Grant
    Filed: June 6, 2008
    Date of Patent: August 10, 2010
    Assignee: Infineon Technologies AG
    Inventors: Albert Birner, Uwe Hoeckele, Thomas Kunstmann, Uwe Seidel
  • Patent number: 7772134
    Abstract: A thermal processing apparatus and method in which a first laser source, for example, a CO2 emitting at 10.6 ?m is focused onto a silicon wafer as a line beam and a second laser source, for example, a GaAs laser bar emitting at 808 nm is focused onto the wafer as a larger beam surrounding the line beam. The two beams are scanned in synchronism in the direction of the narrow dimension of the line beam to create a narrow heating pulse from the line beam when activated by the larger beam. The energy of GaAs radiation is greater than the silicon bandgap energy and creates free carriers. The energy of the CO2 radiation is less than the silicon bandgap energy so silicon is otherwise transparent to it, but the long wavelength radiation is absorbed by the free carriers.
    Type: Grant
    Filed: August 24, 2009
    Date of Patent: August 10, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Dean Jennings, Haifan Liang, Mark Yam, Vijay Parihar, Abhilash Mayur, Aaron Hunter, Bruce Adams, Joseph Michael Ranish
  • Patent number: 7759256
    Abstract: According to the present invention, a method for making a micro-electro-mechanical system (MEMS) device comprises: providing a substrate with devices and interconnection formed thereon, the substrate having a to-be-etched region; depositing and patterning an etch stop layer; depositing and patterning metal and via layers to form an MEMS structure, the MEMS structure including an isolation region between MEMS parts, an isolation region exposed upwardly, and an isolation region exposed downwardly, wherein the isolation region exposed downwardly is in contact with the etch stop layer; masking the isolation region exposed upwardly, and removing the isolation region between MEMS parts; and removing the etch stop layer.
    Type: Grant
    Filed: September 18, 2008
    Date of Patent: July 20, 2010
    Assignee: Pixart Imaging Incorporation
    Inventors: Chuan Wei Wang, Hsin Hui Hsu
  • Patent number: 7759208
    Abstract: Embodiments of the present invention provide a method that cools a substrate to a temperature below 10° C. and then implants ions into the substrate while the temperature of the substrate is below 10° C. The implanting causes damage to a first depth of the substrate to create an amorphized region in the substrate. The method forms a layer of metal on the substrate and heats the substrate until the metal reacts with the substrate and forms a silicide region within the amorphized region of the substrate. The depth of the silicide region is at least as deep as the first depth.
    Type: Grant
    Filed: March 27, 2009
    Date of Patent: July 20, 2010
    Assignee: International Business Machines Corporation
    Inventors: Asa Frye, Christian Lavoie, Ahmet S. Ozcan, Donald R. Wall
  • Patent number: 7745302
    Abstract: A method for making transmission electron microscope gird is provided. An array of carbon nanotubes is provided and drawing a carbon nanotube film from the array of carbon nanotubes. A substrate has a plurality of spaced metal girds attached on the substrate. The metal girds are covered with the carbon nanotube film and treating the carbon nanotube film and the metal girds with organic solvent. A transmission electron microscope (TEM) grid is obtained by removing remaining CNT film.
    Type: Grant
    Filed: December 19, 2008
    Date of Patent: June 29, 2010
    Assignees: Tsinghua University, Hon Hai Precision Industry Co., Ltd.
    Inventors: Li-Na Zhang, Zhuo Chen, Chen Feng, Liang Liu, Kai-Li Jiang, Qun-Qing Li, Shou-Shan Fan
  • Publication number: 20100151697
    Abstract: The electronic properties (such as electron mobility, resistivity, etc.) of an electronic material in operation in an electronic device or electronic circuit can be modified/enhanced when subjected to dynamic or stationary magnetic fields with current flowing through the electronic material. Heating or cooling of the electronic material further enhances the electronic properties.
    Type: Application
    Filed: December 17, 2009
    Publication date: June 17, 2010
    Inventor: Brian I. Ashkenazi