Using Mask (epo) Patents (Class 257/E21.346)
  • Patent number: 11094579
    Abstract: A method of forming a semiconductor structure includes depositing a mask layer over a substrate. The method includes etching the substrate to define a first opening. The method includes depositing a sacrificial material in the first opening. The method includes depositing a dielectric liner along sidewalls of the first opening, wherein a bottom surface of the dielectric liner contacts the sacrificial material. The method includes removing the sacrificial material. The method includes etching the substrate to enlarge the first opening to define a second opening. The second opening includes a first portion extending a first depth from the dielectric material in a first direction perpendicular to a top surface of the substrate, and a second portion extending in a second direction, parallel to the top surface of the substrate. The method includes removing the dielectric liner. The method includes filling the second opening with a dielectric material.
    Type: Grant
    Filed: May 26, 2020
    Date of Patent: August 17, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Yen-Bin Huang, Chien-Mao Chen, Yu-Hsuan Kuo, Shih-Kai Fan, Chia-Hung Lai, Kang-Min Kuo
  • Patent number: 10840152
    Abstract: A method includes etching a hybrid substrate to form a recess in the hybrid substrate, in which the hybrid substrate includes a first semiconductor layer, a dielectric layer over the first semiconductor layer, and a second semiconductor layer over the first semiconductor layer, in which after the etching, a top surface of the first semiconductor layer is exposed to the recess; forming a spacer on a sidewall of the recess, in which the spacer is slanted at a first angle relative to a top surface of the first semiconductor layer; reshaping the spacer such that the a first sidewall of the reshaped spacer is slanted at a second angle relative to the top surface of the first semiconductor layer, in which the second angle is greater than the first angle; and performing a first epitaxy process to grow an epitaxy semiconductor layer in the recess after reshaping the spacer.
    Type: Grant
    Filed: January 23, 2019
    Date of Patent: November 17, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Pei-Wei Lee, Tsung-Yu Hung, Pang-Yen Tsai, Yasutoshi Okuno
  • Patent number: 10522349
    Abstract: A method includes depositing a target layer over a substrate; reducing a reflection of a light incident upon the target layer by implanting ions into the target layer, resulting in an ion-implanted target layer; coating a photoresist layer over the ion-implanted target layer; exposing the photoresist layer to the light using a photolithography process, wherein the target layer reduces reflection of the light at an interface between the ion-implanted target layer and the photoresist layer during the photolithography process; developing the photoresist layer to form a resist pattern; etching the ion-implanted target layer with the resist pattern as an etch mask; processing the substrate using at least the etched ion-implanted target layer as a process mask; and removing the etched ion-implanted target layer.
    Type: Grant
    Filed: October 31, 2018
    Date of Patent: December 31, 2019
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Cheng-Han Yang, Tsung-Han Wu, Chih-Wei Chang, Hsin-mei Lin, I-Chun Hsieh, Hsi-Yen Chang
  • Patent number: 10199236
    Abstract: A thin film transistor, a manufacturing method thereof, and a method for manufacturing an array substrate are provided. The method for manufacturing the thin film transistor includes: forming an active layer film on a base; and forming a source electrode and a drain electrode of the thin film transistor using a conductive photoresist.
    Type: Grant
    Filed: December 15, 2017
    Date of Patent: February 5, 2019
    Assignees: BOE TECHNOLOGY GROUP CO., LTD., HEFEI BOE OPTOELECTRONICS TECHNOLOGY CO., LTD.
    Inventors: Dezhi Xu, Xianxue Duan, Kui Gong
  • Patent number: 9614055
    Abstract: A semiconductor device has an FET of a trench-gate structure obtained by disposing a conductive layer, which will be a gate, in a trench extended in the main surface of a semiconductor substrate, wherein the upper surface of the trench-gate conductive layer is formed equal to or higher than the main surface of the semiconductor substrate. The conductive layer of the trench gate is formed to have a substantially flat or concave upper surface and the upper surface is formed equal to or higher than the main surface of the semiconductor substrate. After etching of the semiconductor substrate to form the upper surface of the conductive layer of the trench gate, a channel region and a source region are formed by ion implantation so that the semiconductor device is free from occurrence of a source offset.
    Type: Grant
    Filed: February 18, 2015
    Date of Patent: April 4, 2017
    Assignee: RENESAS ELECTRONICS CORPORATION
    Inventors: Hiroshi Inagawa, Nobuo Machida, Kentaro Ooishi
  • Patent number: 9570451
    Abstract: A method of forming semiconductor devices. First, a substrate is provided, and a first implant area and a second implant area are defined in a mask pattern. Subsequently, a resist layer on the substrate is patterned using the mask pattern to form a first opening exposing the first implant area and a second opening to expose the second implant area. After that, an ion implantation process including a partial shadowing ion implant is processed, wherein the second implant area is implanted by the partial shadowing ion implant to a predetermined concentration, and the first implant area is substantially not implanted by the partial shadowing ion implant.
    Type: Grant
    Filed: May 10, 2016
    Date of Patent: February 14, 2017
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Shih-Yin Hsiao, Ching-Chung Yang, Tun-Jen Cheng
  • Patent number: 9520291
    Abstract: According to an aspect of the present inventive concept there is provided a method of providing an implanted region in a semiconductor structure including a first region and a second region, the method comprising: providing a first implantation mask covering the first region of the semiconductor structure, the first implantation mask including a first sacrificial layer, wherein the first sacrificial layer is formed as a spin-on-carbon (SOC) layer, and a second sacrificial layer, wherein the second sacrificial layer is formed as a spin-on-glass (SOG) layer; subjecting the semiconductor structure to an ion implantation process wherein an extension of the first implantation mask is such that ion implantation in the first region is counteracted and ion implantation in the second region is allowed wherein the second region is implanted; forming a third sacrificial layer covering the second region of the semiconductor structure, wherein the third sacrificial layer includes carbon; removing the second sacrificial la
    Type: Grant
    Filed: December 23, 2015
    Date of Patent: December 13, 2016
    Assignee: IMEC VZW
    Inventors: Zheng Tao, Kaidong Xu
  • Patent number: 9520474
    Abstract: A semiconductor device includes a metal gate stack. The metal gate stack includes a high-k gate dielectric and a metal gate electrode over the high-k gate dielectric. The metal gate electrode includes a first top surface and a second bottom surface substantially diametrically opposite the first top surface. The first top surface includes a first surface length and the second bottom surface includes a second surface length. The first surface length is larger than the second surface length. A method of forming a semiconductor device is provided.
    Type: Grant
    Filed: September 12, 2013
    Date of Patent: December 13, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Che-Cheng Chang, Chang-Yin Chen, Yi-Jen Chen, Yung Jung Chang
  • Patent number: 9385206
    Abstract: A semiconductor device is disclosed. The semiconductor device includes a substrate, a gate structure on the substrate, and a spacer adjacent to the gate structure, in which the bottom of the spacer includes a tapered profile and the tapered profile comprises a convex curve.
    Type: Grant
    Filed: October 22, 2015
    Date of Patent: July 5, 2016
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Chia-Fu Hsu, Chun-Mao Chiou, Shih-Chieh Hsu, Jian-Cun Ke, Chun-Lung Chen, Lung-En Kuo
  • Patent number: 8980732
    Abstract: The present invention provides a method for manufacturing a silicon carbide Schottky barrier diode. In the method, an n? epitaxial layer is deposited on an n+ substrate. A sacrificial oxide film is formed on the n? epitaxial layer by heat treatment, and then a portion where a composite oxide film is to be formed is exposed by etching. Nitrogen is implanted into the n? epitaxial layer and the sacrificial oxide film using nitrogen plasma. A silicon nitride is deposited on the n? epitaxial layer and the sacrificial oxide film. The silicon nitride is thermally oxidized to form a composite oxide film. An oxide film in a portion where a Schottky metal is to be deposited is etched, and then the Schottky metal is deposited, thereby forming a silicon carbide Schottky barrier diode.
    Type: Grant
    Filed: February 14, 2012
    Date of Patent: March 17, 2015
    Assignee: Hyundai Motor Company
    Inventors: Kyoung Kook Hong, Jong Seok Lee
  • Patent number: 8906706
    Abstract: A method of fabricating workpieces includes one or more layers on a substrate that are masked with an ion implantation mask comprising two or more layers. The mask layers include a first mask layer closer to the substrate, and a second mask layer on the first mask layer. The method also comprises ion implanting one or more of the layers on the substrate. Ion implantation may form portions with altered physical properties from the layers under the mask. The portions may form a plurality of non-magnetic regions corresponding to apertures in the mask.
    Type: Grant
    Filed: March 8, 2012
    Date of Patent: December 9, 2014
    Assignee: HGST Netherlands B.V.
    Inventors: Kanaiyalal C. Patel, Kurt A. Rubin
  • Patent number: 8772737
    Abstract: A coupling module may include an upper portion that defines an aperture, mask contact elements, chuck contact elements and an intermediate element that is connected between the mask contact elements and the upper portion. A shape and a size of the aperture may correspond to a shape and size of a pattern transfer area of an extreme ultra violet (EUVL) mask. The coupling module may be shaped and sized so that once the mask contact elements contact the upper portion of the EUVL mask, the chuck contact elements contact a chuck that supports the mask. The coupling module may further provide at least one conductive path between the upper portion of the EUVL mask and the chuck when the EUVL mask is positioned on the chuck.
    Type: Grant
    Filed: September 20, 2012
    Date of Patent: July 8, 2014
    Assignee: Applied Materials Israel, Ltd.
    Inventors: Igor Krivts (Krayvitz), Israel Avneri, Yoram Uziel, Nir Ben-David Dodzin, Ido Holcman, Itzak Yair, Yosi Basson
  • Patent number: 8759192
    Abstract: A wiring trench is formed in an interlayer insulating film partway in the depth direction of the interlayer insulating film. A via hole is formed extending from the bottom of the wiring trench to the bottom of the interlayer insulating film. A capacitor recess is formed reaching the bottom of the interlayer insulating film. A conductive member is embedded in the wiring trench and via hole. A capacitor is embedded in the capacitor recess, including a lower electrode, a capacitor dielectric film and an upper electrode. The lower electrode is made of the same material as that of the conductive member and disposed along the bottom and side surface of the capacitor recess. A concave portion is formed on an upper surface of the lower electrode, and the capacitor dielectric film covers an inner surface of the concave portion. The upper electrode is embedded in the concave portion.
    Type: Grant
    Filed: September 13, 2012
    Date of Patent: June 24, 2014
    Assignee: Fujitsu Limited
    Inventor: Kenichi Watanabe
  • Patent number: 8748276
    Abstract: A through portion is formed on a semiconductor substrate. Into the semiconductor substrate, a first ion implantation is performed via the through portion. The through portion is at least partially removed in the thickness direction from a region of at least a portion of the through portion when viewed in a plan view. A second ion implantation is performed into the semiconductor substrate at the region of at least the portion thereof. An implantation energy for the first ion implantation is equal to an implantation energy for the second ion implantation.
    Type: Grant
    Filed: July 2, 2012
    Date of Patent: June 10, 2014
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventor: Hideki Hayashi
  • Patent number: 8735234
    Abstract: An improved method of doping a substrate is disclosed. The method is particularly beneficial to the creation of interdigitated back contact (IBC) solar cells. A paste having a dopant of a first conductivity is applied to the surface of the substrate. This paste serves as a mask for a subsequent ion implantation step, allowing ions of a dopant having an opposite conductivity to be introduced to the portions of the substrate which are exposed. After the ions are implanted, the mask can be removed and the dopants may be activated. Methods of using an aluminum-based and phosphorus-based paste are disclosed.
    Type: Grant
    Filed: February 16, 2011
    Date of Patent: May 27, 2014
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Atul Gupta, Nicholas Bateman
  • Patent number: 8685846
    Abstract: An improved technique for processing a substrate is disclosed. In one particular exemplary embodiment, the technique may be realized as a method for processing a substrate. The method may comprise ion implanting a substrate disposed downstream of the ion source with ions generated in an ion source; and disposing a first portion of a mask in front of the substrate to expose the first portion of the mask to the ions, the mask being supported by the first and second mask holders, the mask further comprising a second portion wound in the first mask holder.
    Type: Grant
    Filed: January 28, 2010
    Date of Patent: April 1, 2014
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Russell J. Low, William T. Weaver, Nicholas P. T. Bateman, Atul Gupta
  • Patent number: 8658477
    Abstract: An exposure mask according to an embodiment of the invention includes a first transmission region where a plurality of dots through which light is shielded or transmitted are arrayed into a matrix form having rows and columns and a second transmission region where a plurality of dots through which the light is shielded or transmitted are arrayed into a matrix form having rows and columns and is disposed adjacent to the first transmission region. The dots arrayed in a row or a column of the first transmission region, which is adjacent to the second transmission region, have an area intermediate between areas of dots arrayed on both sides of the row or the column.
    Type: Grant
    Filed: April 5, 2013
    Date of Patent: February 25, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Ken Tomita
  • Patent number: 8652965
    Abstract: One object of the present invention is to provide a method for producing a thick film metal electrode that is able to form a positive-negative reverse type resist, which has a thickness of 7 ?m or more and excellent in-plane uniformity, on the circuit element formed on the silicon carbide substrate, and a method for producing a thick film resist, and the present invention provides a method for producing a thick film resist wherein a first positive-negative reverse type resist having a first viscosity is formed on an upper surface of a circuit element layer which is treated with HMDS, and a second positive-negative reverse type resist having a second viscosity, which is larger than the first viscosity, on the first positive-negative reverse type resist such that a total thickness of the first and second positive-negative reverse type resists constituting a thick film resist be 7 ?m or more.
    Type: Grant
    Filed: March 9, 2012
    Date of Patent: February 18, 2014
    Assignee: Showa Denko K.K.
    Inventor: Kenji Suzuki
  • Patent number: 8598023
    Abstract: There is disclosed a substrate processing apparatus including a processing chamber housing a substrate, pipes for supplying gas into the processing chamber, and heaters provided in the middle of the pipes, and heating the gas. In the substrate processing apparatus, the heaters heat the gas to a temperature lower than a temperature at which exhaust gas is generated from the pipes to dry the substrate in the heated gas.
    Type: Grant
    Filed: July 31, 2012
    Date of Patent: December 3, 2013
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Tomokazu Kawamoto
  • Patent number: 8575691
    Abstract: A method for fabricating a lateral-diffusion metal-oxide semiconductor (LDMOS) device is disclosed. The method includes the steps of: providing a semiconductor substrate; forming a first region and a second region both having a first conductive type in the semiconductor substrate, wherein the first region not contacting the second region; and performing a thermal process to diffuse the dopants within the first region and the second region into the semiconductor substrate to form a deep well, wherein the doping concentration of the deep well is less than the doping concentration of the first region and the second region.
    Type: Grant
    Filed: March 24, 2010
    Date of Patent: November 5, 2013
    Assignee: United Microelectronics Corp.
    Inventors: Tseng-Hsun Liu, Chiu-Ling Lee, Zheng-Hong Chen, Yi-Ming Wang, Ching-Ming Lee
  • Patent number: 8518810
    Abstract: A method for manufacturing a semiconductor device includes; exposing a resist layer 16 uniformly formed on a semiconductor substrate 11 using a grating mask 17 having transmission regions 17A whose transmittances decrease toward a transfer direction of charges, forming a plurality of residual resist films 18 whose film thicknesses change according to the transmittances of the grating mask 17 by developing the exposed resist layer 16, and forming a plurality of impurity layers 13 having an inner potential including a predetermined reference potential Pb and a predetermined step potential Ps by implanting ions 20 into the semiconductor substrate 11 through the residual resist films 18, wherein an acceleration voltage and a dose amount of the ion implantation device 19 are determined so that an error of the inner potential caused by an error of the film thickness of the residual resist film 18 stays within a permissible range.
    Type: Grant
    Filed: September 6, 2011
    Date of Patent: August 27, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Ken Tomita
  • Patent number: 8518835
    Abstract: Some embodiments include methods of forming patterns utilizing copolymer. A copolymer composition is formed across a substrate. The composition includes subunits A and B, and will be self-assembled to form core structures spaced center-to-center by a distance of L0. The core structures are contained within a repeating pattern of polygonal unit cells. Distances from the core structures to various locations of the unit cells are calculated to determine desired distributions of subunit lengths.
    Type: Grant
    Filed: August 20, 2012
    Date of Patent: August 27, 2013
    Assignee: Micron Technology, Inc.
    Inventor: Scott E. Sills
  • Publication number: 20130171812
    Abstract: A method of fabricating a plurality of features of a semiconductor device includes providing a dielectric layer over a silicon layer, and etching the dielectric layer and the silicon layer to form a plurality of first apertures in the dielectric layer and the silicon layer, wherein adjacent apertures of the plurality of first apertures are set apart by a first pitch. The method further includes etching a plurality of second apertures in the dielectric layer, each aperture of the plurality of second apertures having a greater width than and centered about a respective aperture of the plurality of first apertures, implanting a plurality of dopants into the silicon layer aligned through the plurality of second apertures in the dielectric layer, wherein doped portions of the silicon layer are set apart by a second pitch less than the first pitch, and removing undoped portions of the silicon layer.
    Type: Application
    Filed: December 30, 2011
    Publication date: July 4, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventor: Tzu-Yen Hsieh
  • Publication number: 20130095630
    Abstract: An integrated circuit containing MOS transistors may be formed using a split carbon co-implantation. The split carbon co-implant includes an angled carbon implant and a zero-degree carbon implant that is substantially perpendicular to a top surface of the integrated circuit. The split carbon co-implant is done at the LDD and halo implant steps.
    Type: Application
    Filed: October 17, 2012
    Publication date: April 18, 2013
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Texas Instruments Incorporated
  • Publication number: 20130087859
    Abstract: A device including a p-type semiconductor device and an n-type semiconductor device on a semiconductor substrate. The n-type semiconductor device includes a gate structure having a high-k gate dielectric. A carbon dopant in a concentration ranging from 1×1016 atoms/cm3 to 1×1021 atoms/cm3 is present at an interface between the high-k gate dielectric of the gate structure for the n-type semiconductor device and the semiconductor substrate. Methods of forming the aforementioned device are also disclosed.
    Type: Application
    Filed: October 5, 2011
    Publication date: April 11, 2013
    Applicant: International Business Machines Corporation
    Inventors: Yue Liang, Dechao Guo, William K. Henson, Shreesh Narasimha, Yanfeng Wang
  • Patent number: 8384135
    Abstract: A phase-change random access memory device includes a semiconductor substrate, a bottom electrode structure formed on the semiconductor substrate, a cylindrical bottom electrode contact that includes a conductive material layer, which is in contact with the bottom electrode, and a cylindrical phase-change material layer that is in contact with the bottom electrode contact. Therefore, the contact area between the bottom electrode contact and the phase-change material layer can be minimized.
    Type: Grant
    Filed: June 20, 2011
    Date of Patent: February 26, 2013
    Assignee: SK hynix Inc.
    Inventors: Cheol Hwi Ryu, Hyung Soon Park, Jong Han Shin, Jum Yong Park, Sung Jun Kim
  • Publication number: 20130045591
    Abstract: A method of semiconductor processing includes coating a top surface of a substrate having a semiconductor surface with a positive photoresist layer. The positive photoresist layer is exposed using a reticle or a mask that defines a pattern. The positive photoresist layer is doped by introducing at least one material modifying species after exposing. The positive photoresist layer is developed with a negative tone developer to form a patterned positive photoresist layer which provides masked portions of the top surface and unmasked portions of the top surface. A selective process is then performed to the unmasked portions of the top surface.
    Type: Application
    Filed: August 15, 2012
    Publication date: February 21, 2013
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: JUDY BROWDER SHAW, SCOTT WILLIAM JESSEN
  • Publication number: 20130020652
    Abstract: A method for manufacturing a gate-last high-K CMOS structure comprising a first transistor and a second transistor, which is formed in a Si substrate includes: implanting acceptor impurity into a gate recess of the first transistor to form a first buried-layer heavily doping region under a channel of the first transistor; and implanting donor impurity into a gate recess of the second transistor to form a second buried-layer heavily doping region under a channel of the second transistor.
    Type: Application
    Filed: December 29, 2011
    Publication date: January 24, 2013
    Applicant: SHANGHAI HUALI MICROELECTRONICS CORPORATION
    Inventors: Xiaolu HUANG, Gang MAO, Yuwen CHEN, Tzuyin CHIU
  • Publication number: 20130017675
    Abstract: A through portion is formed on a semiconductor substrate. Into the semiconductor substrate, a first ion implantation is performed via the through portion. The through portion is at least partially removed in the thickness direction from a region of at least a portion of the through portion when viewed in a plan view. A second ion implantation is performed into the semiconductor substrate at the region of at least the portion thereof. An implantation energy for the first ion implantation is equal to an implantation energy for the second ion implantation.
    Type: Application
    Filed: July 2, 2012
    Publication date: January 17, 2013
    Applicant: Sumitomo Electric Industries, Ltd.
    Inventor: Hideki Hayashi
  • Patent number: 8343844
    Abstract: A method of manufacturing a capacitor of a semiconductor device includes forming a high-k dielectric pattern on a semiconductor substrate, the high-k dielectric pattern having a pillar shape including a hole therein, forming a lower electrode in the hole of the high-k dielectric pattern, locally forming a blocking insulating pattern on an upper surface of the lower electrode, and forming an upper electrode covering the high-k dielectric pattern and the blocking insulating pattern.
    Type: Grant
    Filed: March 25, 2011
    Date of Patent: January 1, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Wandon Kim, Jong Cheol Lee, Jin Yong Kim, Beom Seok Kim, Yong-Suk Tak, Kyuho Cho, Ohseong Kwon
  • Patent number: 8338281
    Abstract: Provided is a method for fabricating a semiconductor device. The method includes: forming a photoresist pattern having a first opening over a substrate; forming a first impurity region inside the substrate exposed to the first opening; partially etching the photoresist pattern by a plasma ashing process using oxygen (O2) gas to form a second opening having a width broader than that of the first opening; and forming a second impurity region inside the substrate exposed through the second opening, wherein the width of the second opening varies according to a plasma ashing time.
    Type: Grant
    Filed: May 27, 2009
    Date of Patent: December 25, 2012
    Assignee: MagnaChip Semiconductor, Ltd.
    Inventors: Kee-Joon Choi, Il-Kyoo Park
  • Publication number: 20120322247
    Abstract: A method for fabricating a high voltage transistor includes the following steps. Firstly, a substrate is provided. A first sacrificial oxide layer and a hard mask layer are sequentially formed over the substrate. The hard mask layer is removed, thereby exposing the first sacrificial oxide layer. Then, a second sacrificial oxide layer is formed on the first sacrificial oxide layer. Afterwards, an ion-implanting process is performed to introduce a dopant into the substrate through the second sacrificial oxide layer and the first sacrificial oxide layer, thereby producing a high voltage first-type field region of the high voltage transistor.
    Type: Application
    Filed: June 15, 2011
    Publication date: December 20, 2012
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Chih-Kuang CHANG, Hsin-Hsueh HSIEH
  • Patent number: 8324075
    Abstract: The invention relates to a method for recycling a substrate with a step-like residue in a first region of its surface, in particular along the edge of the substrate, which protrudes with respect to the surface of a remaining second region of the substrate, and wherein the first region comprises a modified zone, in particular an ion implanted zone, essentially in a plane corresponding to the plane of the surface of the remaining second region of the substrate and/or chamfered towards the edge of the substrate. To prevent the negative impact of contaminants in subsequent laminated wafer fabricating processes, the recycling method comprises a material removal step which is carried out such that the surface of the substrate in the first region is lying lower than the level of the modified zone before the material removal.
    Type: Grant
    Filed: June 24, 2008
    Date of Patent: December 4, 2012
    Assignee: Soitec
    Inventors: Cecile Aulnette, Khalid Radouane
  • Publication number: 20120295429
    Abstract: There is disclosed a substrate processing apparatus including a processing chamber housing a substrate, pipes for supplying gas into the processing chamber, and heaters provided in the middle of the pipes, and heating the gas. In the substrate processing apparatus, the heaters heat the gas to a temperature lower than a temperature at which exhaust gas is generated from the pipes to dry the substrate in the heated gas.
    Type: Application
    Filed: July 31, 2012
    Publication date: November 22, 2012
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventor: Tomokazu KAWAMOTO
  • Publication number: 20120276724
    Abstract: A spin-on formulation that is useful in stripping an ion implanted photoresist is provided that includes an aqueous solution of a water soluble polymer containing at least one acidic functional group, and at least one lanthanide metal-containing oxidant. The spin-on formulation is applied to an ion implanted photoresist and baked to form a modified photoresist. The modified photoresist is soluble in aqueous, acid or organic solvents. As such one of the aforementioned solvents can be used to completely strip the ion implanted photoresist as well as any photoresist residue that may be present. A rinse step can follow the stripping of the modified photoresist.
    Type: Application
    Filed: June 28, 2012
    Publication date: November 1, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Ali Afzali-Ardakani, Mahmoud Khojasteh, Ronald W. Nunes, George G. Totir
  • Publication number: 20120244692
    Abstract: An improved, lower cost method of processing substrates, such as to create solar cells is disclosed. In addition, a modified substrate carrier is disclosed. The carriers typically used to carry the substrates are modified so as to serve as shadow masks for a patterned implant. In some embodiments, various patterns can be created using the carriers such that different process steps can be performed on the substrate by changing the carrier or the position with the carrier. In addition, since the alignment of the substrate to the carrier is critical, the carrier may contain alignment features to insure that the substrate is positioned properly on the carrier. In some embodiments, gravity is used to hold the substrate on the carrier, and therefore, the ions are directed so that the ion beam travels upward toward the bottom side of the carrier.
    Type: Application
    Filed: June 5, 2012
    Publication date: September 27, 2012
    Applicant: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.
    Inventors: Nicholas Bateman, Kevin Daniels, Atul Gupta, Russell Low, Benjamin Riordon, Robert Mitchell, Steven Anella
  • Patent number: 8268732
    Abstract: Some embodiments include methods of forming patterns utilizing copolymer. A copolymer composition is formed across a substrate. The composition includes subunits A and B, and will be self-assembled to form core structures spaced center-to-center by a distance of L0. The core structures are contained within a repeating pattern of polygonal unit cells. Distances from the core structures to various locations of the unit cells are calculated to determine desired distributions of subunit lengths.
    Type: Grant
    Filed: November 19, 2009
    Date of Patent: September 18, 2012
    Assignee: Micron Technology, Inc.
    Inventor: Scott Sills
  • Patent number: 8242003
    Abstract: Exemplary embodiments provide methods of forming semiconductor devices, by which defects formed upon nucleation and coalescence of semiconductor islands can be reduced or eliminated. In one embodiment, an annealing process can be performed prior to coalescence of the semiconductor islands into a continuous semiconductor layer. In another embodiment, high-quality Group III-V materials can be formed on the continuous semiconductor layer.
    Type: Grant
    Filed: April 14, 2011
    Date of Patent: August 14, 2012
    Assignee: STC.UNM
    Inventors: Sang M. Han, Darin Leonhardt
  • Publication number: 20120196429
    Abstract: A method of manufacturing a semiconductor device that includes a semiconductor substrate is provided. The method includes: exposing a photoresist coated on the semiconductor substrate using a photomask including a plurality of regions having different light transmittances; developing the photoresist to form a resist pattern including a plurality of regions having different thicknesses that depend on an exposure amount of the photoresist; and implanting impurity ions into the semiconductor substrate through the plurality of regions of the resist pattern having different thicknesses to form a plurality of impurity regions whose depths from a surface of the semiconductor substrate to peak positions are different from each other. The depths to the peak positions depend on the thickness of the resist pattern through which the implanted impurity ions pass.
    Type: Application
    Filed: January 12, 2012
    Publication date: August 2, 2012
    Applicant: CANON KABUSHIKI KAISHA
    Inventors: Tomoyuki Tezuka, Mahito Shinohara, Yasuhiro Kawabata
  • Publication number: 20120196430
    Abstract: An improved method of moving a mask to perform a pattern implant of a substrate is disclosed. The mask has a plurality of apertures, and is placed between the ion source and the substrate. After the substrate is exposed to the ion beam, the mask is indexed to a new position relative to the substrate and a subsequent implant step is performed. Through the selection of the aperture size and shape, the index distance and the number of implant steps, a variety of implant patterns may be created. In some embodiments, the implant pattern includes heavily doped horizontal stripes with lighter doped regions between the stripes. In some embodiments, the implant pattern includes a grid of heavily doped regions. In other embodiments, the implant pattern is suitable for use with a bus-bar structure.
    Type: Application
    Filed: April 9, 2012
    Publication date: August 2, 2012
    Applicant: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.
    Inventors: Benjamin B. RIORDON, Nicholas P.T. BATEMAN, Charles T. CARLSON
  • Patent number: 8202791
    Abstract: A method for fabricating an integrated circuit device is disclosed. The method includes providing a substrate; forming a first hard mask layer over the substrate; patterning the first hard mask layer to form one or more first openings having a first critical dimension; performing a first implantation process on the substrate; forming a second hard mask layer over the first hard mask layer to form one or more second openings having a second critical dimension; and performing a second implantation process.
    Type: Grant
    Filed: March 16, 2009
    Date of Patent: June 19, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chun-Chieh Chuang, Dun-Nian Yaung, Jen-Cheng Liu, Jeng-Shyan Lin, Wen-De Wang
  • Patent number: 8202789
    Abstract: Various masks for use with ion implantation equipment are disclosed. In one embodiment, the masks are formed by assembling a collection of segments and spacers to create a mask having the desired configuration. This collection of parts is held together with a carrier or frame. In another embodiment, a panel is formed by machining open-ended slots into a substrate, so as to form a comb-shaped device. Two such panels may be connected together to form a mask. In other embodiments, the panels may be used sequentially in an ion implantation process to create interdigitated back contacts. In another embodiment, multiple masks are overlaid so as to create implant patterns that cannot be created effectively using a single mask.
    Type: Grant
    Filed: September 8, 2009
    Date of Patent: June 19, 2012
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Steven M. Anella, William Weaver
  • Publication number: 20120122282
    Abstract: A method of manufacturing semiconductor devices includes forming a plurality of lines arranged in a direction over a semiconductor substrate, forming mask patterns over the semiconductor substrate wherein the mask patterns intersect the lines, and forming junctions in the semiconductor substrate between the lines by performing an ion implantation process.
    Type: Application
    Filed: November 16, 2011
    Publication date: May 17, 2012
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Hyun Yul KWON
  • Patent number: 8178409
    Abstract: The invention is related to a semiconductor device with alternately arranged P-type and N-type thin semiconductor layers and method for manufacturing the same. For P-type device, the method includes trench formation, thermal oxide formation on trench sidewalls, N-type silicon formation in trenches, N-type impurity diffusion through thermal oxide into P-type epitaxial layer, oxidation of N-type silicon in trenches and oxide removal. In the semiconductor device, N-type thin semiconductor layers are formed by N-type impurity diffusion through oxide to P-type epitaxial layers, and trenches are filled with oxide. With this method, relatively low concentration impurity in high voltage device can be realized by current mass production process, and the device development cost and manufacturing cost are decreased.
    Type: Grant
    Filed: July 8, 2010
    Date of Patent: May 15, 2012
    Assignee: Shanghai Hua Hong Nec Electronics Company, Limited
    Inventors: Shengan Xiao, Feng Han
  • Publication number: 20120100680
    Abstract: A process of forming an integrated circuit containing an npn BJT and an NMOS transistor by cooling the integrated circuit substrate to 5° C. or colder and concurrently implanting n-type dopants, at a specified minimum dose according to species, into the emitter region of the BJT and the source and drain regions of the NMOS transistor. A process of forming an integrated circuit containing a pnp BJT and a PMOS transistor by cooling the integrated circuit substrate to 5° C. or colder and concurrently implanting p-type dopants, at a specified minimum dose according to species, into the emitter region of the BJT and the source and drain regions of the PMOS transistor. A process of forming an integrated circuit containing an implant region by cooling the integrated circuit substrate to 5° C. or colder and implanting atoms, at a specified minimum dose according to species, into the implant region.
    Type: Application
    Filed: September 27, 2011
    Publication date: April 26, 2012
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Ming-Yeh CHUANG
  • Patent number: 8163588
    Abstract: A manufacturing method of a photoelectric conversion device included a first step of forming a gate electrode, a second step of forming a semiconductor region of a first conductivity type, a third step of forming an insulation film, and a fourth step of forming a protection region of a second conductivity type, which is the opposite conductivity type to the first conductivity type, by implanting ions in the semiconductor region using the gate electrode of the transfer transistor and a portion covering a side face of the gate electrode of the transfer transistor of the insulation film as a mask in a state in which the semiconductor substrate and the gate electrode of the transfer transistor are covered by the insulation film, and causing a portion of the semiconductor region of the first conductivity type from which the protection region is removed to be the charge accumulation region.
    Type: Grant
    Filed: March 28, 2011
    Date of Patent: April 24, 2012
    Assignee: Canon Kabushiki Kaisha
    Inventors: Ryuichi Mishima, Mineo Shimotsusa, Hiroaki Naruse
  • Publication number: 20120068244
    Abstract: According to an embodiment, a semiconductor memory device includes a plurality of multi-level memory cells provided on a major surface of a semiconductor substrate of a first conductivity type. A first semiconductor region of a second conductivity type is selectively provided in the surface of the semiconductor substrate between the multi-level memory cells. A second semiconductor region is provided deeper than the first semiconductor region and includes a first conductivity type impurity. A plurality of binary memory cells are provided on the major surface of the semiconductor substrate, and a third semiconductor region of the second conductivity type is selectively provided in the surface of the semiconductor substrate between the binary memory cells. Amount of the first conductivity type impurity compensating a second conductivity type impurity of the first semiconductor region is larger than that of the third semiconductor region.
    Type: Application
    Filed: September 20, 2011
    Publication date: March 22, 2012
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Yoshiki KATO
  • Publication number: 20120070970
    Abstract: A method for manufacturing a semiconductor device includes; exposing a resist layer 16 uniformly formed on a semiconductor substrate 11 using a grating mask 17 having transmission regions 17A whose transmittances decrease toward a transfer direction of charges, forming a plurality of residual resist films 18 whose film thicknesses change according to the transmittances of the grating mask 17 by developing the exposed resist layer 16, and forming a plurality of impurity layers 13 having an inner potential including a predetermined reference potential Pb and a predetermined step potential Ps by implanting ions 20 into the semiconductor substrate 11 through the residual resist films 18, wherein an acceleration voltage and a dose amount of the ion implantation device 19 are determined so that an error of the inner potential caused by an error of the film thickness of the residual resist film 18 stays within a permissible range.
    Type: Application
    Filed: September 6, 2011
    Publication date: March 22, 2012
    Applicant: Kabushiki Kaisha Toshiba
    Inventor: Ken TOMITA
  • Publication number: 20120040521
    Abstract: A semiconductor high-voltage device comprising a voltage sustaining layer between a n+-region and a p+-region is provided, which is a uniformly doped n (or p)-layer containing a plurality of floating p (or n)-islands. The effect of the floating islands is to absorb a large part of the electric flux when the layer is fully depleted under high reverse bias voltage so as the peak field is not increased when the doping concentration of voltage sustaining layer is increased. Therefore, the thickness and the specific on-resistance of the voltage sustaining layer for a given breakdown voltage can be much lower than those of a conventional voltage sustaining layer with the same breakdown voltage. By using the voltage sustaining layer of this invention, various high voltage devices can be made with better relation between specific on-resistance and breakdown voltage.
    Type: Application
    Filed: October 25, 2011
    Publication date: February 16, 2012
    Applicant: THIRD DIMENSION (3D) SEMICONDUCTOR, INC.
    Inventor: Xingbi CHEN
  • Publication number: 20120025262
    Abstract: An object of the present invention is to provide a MOS type semiconductor device allowing production at a low cost without lowering a breakdown voltage and avoiding increase of an ON resistance.
    Type: Application
    Filed: August 1, 2011
    Publication date: February 2, 2012
    Applicant: FUJI ELECTRIC CO., LTD.
    Inventor: Yasushi NIIMURA