Of Trenches Having Shape Other Than Rectangular Or V Shape, E.g., Rounded Corners, Oblique Or Rounded Trench Walls (epo) Patents (Class 257/E21.549)
  • Patent number: 11948956
    Abstract: Image sensors are provided. An image sensor includes a substrate including a plurality of pixel areas. The substrate has a first surface and a second surface that is opposite the first surface. The image sensor includes a deep pixel isolation region extending from the second surface of the substrate toward the first surface of the substrate and separating the plurality of pixel areas from each other. The image sensor includes an amorphous region adjacent a sidewall of the deep pixel isolation region. Moreover, the image sensor includes an electron suppression region between the amorphous region and the sidewall of the deep pixel isolation region.
    Type: Grant
    Filed: August 20, 2020
    Date of Patent: April 2, 2024
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Hyungi Hong, Kook Tae Kim, Jingyun Kim, Soojin Hong
  • Patent number: 11948832
    Abstract: A semiconductor manufacturing process and semiconductor device having an airgap to isolate bottom implant portions of a substrate from upper source and drain device structure to reduce bottom current leakage and parasitic capacitance with an improved scalability on n-to-p spacing scaling. The disclosed device can be implanted to fabricate nanosheet FET and other such semiconductor device. The airgap is formed by etching into the substrate, below a trench in a vertical and horizontal direction. The trench is then filled with dielectric and upper device structure formed on either side of the dielectric filler trench.
    Type: Grant
    Filed: September 21, 2021
    Date of Patent: April 2, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Yan Zhang, Johannes M. van Meer, Naushad K. Variam
  • Patent number: 11935839
    Abstract: A semiconductor device includes a semiconductor layer with opposing first and second main surfaces and a first column extending from the first main surface and having a first concentration of a dopant of the first conductivity type. A trench with a sidewall and bottom extends at least partially through the semiconductor layer from the first main surface. A second column between the trench sidewall and the first column has a second concentration of a dopant of a second conductivity type and is formed in the semiconductor layer and extends from the first main surface. A trench oxide layer is in contact with at least the trench sidewall and the trench bottom. A trench nitride layer covers the trench oxide layer at least on the trench sidewall. A dielectric seal material seals the trench proximate the first main surface of the semiconductor layer such that the trench is air-tight.
    Type: Grant
    Filed: May 27, 2022
    Date of Patent: March 19, 2024
    Assignee: IceMos Technology Corporation
    Inventors: Kiraneswar Muthuseenu, Samuel Anderson, Takeshi Ishiguro
  • Patent number: 11929253
    Abstract: The present disclosure relates to a method of manufacturing a nanowire structure. According to an exemplary process, a substrate is firstly provided. An intact buffer region is formed over the substrate, and a sacrificial top portion of the intact buffer region is eliminated to provide a buffer layer with a planarized top surface. Herein, the planarized top surface has a vertical roughness below 10 ?. Next, a patterned mask with an opening is formed over the buffer layer, such that a portion of the planarized top surface of the buffer layer is exposed. A nanowire is formed over the exposed portion of the planarized top surface of the buffer layer through the opening of the patterned mask. The buffer layer is configured to have a lattice constant that provides a transition between the lattice constant of the substrate and the lattice constant of the nanowire.
    Type: Grant
    Filed: May 29, 2020
    Date of Patent: March 12, 2024
    Assignee: Microsoft Technology Licensing, LLC
    Inventors: Geoffrey C. Gardner, Sergei V. Gronin, Raymond L. Kallaher, Michael James Manfra
  • Patent number: 11923253
    Abstract: A device includes a first transistor, a second transistor, and a dielectric structure. The first transistor is over a substrate and has a first gate structure. The second transistor is over the substrate and has a second gate structure. The dielectric structure is between the first gate structure and the second gate structure. The dielectric structure has a width increasing from a bottom position of the dielectric structure to a first position higher than the bottom position of the dielectric structure. A width of the first gate structure is less than the width of the dielectric structure at the first position.
    Type: Grant
    Filed: February 10, 2023
    Date of Patent: March 5, 2024
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Kuei-Ming Chang, Rei-Jay Hsieh, Cheng-Han Wu, Chie-luan Lin
  • Patent number: 11916133
    Abstract: Semiconductor devices and methods of forming the same are provided. In one embodiment, a semiconductor device includes a gate structure sandwiched between and in contact with a first spacer feature and a second spacer feature, a top surface of the first spacer feature and a top surface of the second spacer feature extending above a top surface of the gate structure, a gate self-aligned contact (SAC) dielectric feature over the first spacer feature and the second spacer feature, a contact etch stop layer (CESL) over the gate SAC dielectric feature, a dielectric layer over the CESL, a gate contact feature extending through the dielectric layer, the CESL, the gate SAC dielectric feature, and between the first spacer feature and the second spacer feature to be in contact with the gate structure, and a liner disposed between the first spacer feature and the gate contact feature.
    Type: Grant
    Filed: February 21, 2022
    Date of Patent: February 27, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Li-Zhen Yu, Lin-Yu Huang, Chia-Hao Chang, Cheng-Chi Chuang, Yu-Ming Lin, Chih-Hao Wang
  • Patent number: 11881496
    Abstract: An image sensor includes a substrate, and a pixel separation pattern disposed in the substrate and interposed between a plurality of unit pixels. The plurality of unit pixels include a first unit pixel region and a second unit pixel region adjacent to the first unit pixel region in a first direction. The first unit pixel region and the second unit pixel region respectively include a first transfer gate and a second transfer gate. The pixel separation pattern includes a first pixel separation part interposed between the first unit pixel region and the second unit pixel region, and a second pixel separation part spaced apart from the first pixel separation part in the first direction. A top surface of the first pixel separation part is lower than a top surface of the second pixel separation part.
    Type: Grant
    Filed: April 30, 2021
    Date of Patent: January 23, 2024
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Jameyung Kim, Tae-Hun Lee, Dongmo Im, Kwansik Cho
  • Patent number: 11869953
    Abstract: A high-voltage transistor device includes a semiconductor substrate, an isolation structure, a gate dielectric layer, a gate, a source region and a drain region. The semiconductor substrate has a plurality of grooves extending downward from a surface of the semiconductor substrate to form a sawtooth sectional profile. The isolation structure is disposed on the outside of the plurality of grooves, and extends from the surface downwards into the semiconductor substrate to define a high-voltage area. The gate dielectric layer is disposed on the high-voltage area and partially filled in the plurality of grooves. The gate is disposed on the gate dielectric layer. The source region and the drain region are respectively disposed in the semiconductor substrate and isolated from each other.
    Type: Grant
    Filed: September 13, 2022
    Date of Patent: January 9, 2024
    Assignee: UNITED MICROELECTRONICS CORP
    Inventors: Sheng-Yao Huang, Yu-Ruei Chen, Zen-Jay Tsai, Yu-Hsiang Lin
  • Patent number: 11842921
    Abstract: The present disclosure provides a method for preparing a semiconductor device structure. The method includes forming a pad oxide layer over a semiconductor substrate; forming a pad nitride layer over the pad oxide layer; forming a shallow trench penetrating through the pad nitride layer and the pad oxide layer and extending into the semiconductor substrate; forming a first liner, a second liner and a third liner over sidewalls and a bottom surface of the semiconductor substrate in the shallow trench; filling a remaining portion of the shallow trench with a trench filling layer over the third liner; and planarizing the second liner, the third liner and the trench filling layer to expose the pad nitride layer. The first liner and the remaining portions of the second liner, the third liner and the trench filling layer collectively form a shallow trench isolation (STI) structure in an array area.
    Type: Grant
    Filed: May 31, 2022
    Date of Patent: December 12, 2023
    Assignee: NANYA TECHNOLOGY CORPORATION
    Inventor: Yu-Han Hsueh
  • Patent number: 11798836
    Abstract: A semiconductor isolation structure includes a silicon-on-insulator wafer, a first deep trench isolation structure and a second deep trench isolation structure. The silicon-on-insulator wafer includes a semiconductor substrate, a buried insulation layer disposed on the semiconductor substrate, and a semiconductor layer disposed on the buried insulation layer. The semiconductor layer has a functional region. The first deep trench isolation structure penetrates the semiconductor layer and the buried insulation layer, and surrounds the functional region. The second deep trench isolation structure penetrates semiconductor layer and the buried insulation layer, and surrounds the first deep trench isolation structure.
    Type: Grant
    Filed: June 17, 2021
    Date of Patent: October 24, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Tsung-Yu Yang, Po-Wei Liu, Yun-Chi Wu, Yu-Wen Tseng, Chia-Ta Hsieh, Ping-Cheng Li, Tsung-Hua Yang, Yu-Chun Chang
  • Patent number: 11784229
    Abstract: Exemplary semiconductor structures and processing methods may include forming a first portion of a first semiconductor layer characterized by a first etch rate for an etch treatment, forming a second portion of the first semiconductor layer characterized by a second etch rate that is less than the first etch rate for the etch treatment, and forming a third portion of the first semiconductor layer characterized by a third etch rate that is greater than the second etch rate. The processing methods may further include etching an opening through the first semiconductor layer, where the opening has a height and a width, and where the opening is characterized by a variation in the width between a midpoint of the height of the opening and an endpoint of the opening that is less than or about 5 ?.
    Type: Grant
    Filed: October 16, 2020
    Date of Patent: October 10, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Akhil Singhal, Allison Yau, Sang-Jin Kim, Zeqiong Zhao, Zhijun Jiang, Deenesh Padhi, Ganesh Balasubramanian
  • Patent number: 11764215
    Abstract: Various semiconductor techniques described herein enable reductions in one or more sizes of a fin field-effect transistor (finFET) and/or increasing one or more sizes of a finFET. In various implementations described herein, a material may be used to reduce the one or more x-direction sizes of the finFET by selective deposition while enabling the one or more y-direction sizes of the finFET to be increased or enlarged by etching. The x-direction size of a source or drain of the finFET, the x-direction size of an active region of the finFET, and/or the x-direction size of a polysilicon region of the finFET may be increased by selective deposition of a boron nitride (BxNy), a boron carbide (BxC), a boron oxide (BxOy) (e.g., boric oxide (B2O3), a fluorocarbon (CxFy) polymer, and/or another material.
    Type: Grant
    Filed: August 27, 2021
    Date of Patent: September 19, 2023
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Yu-Lien Huang
  • Patent number: 11731125
    Abstract: A patterning method of a film is disclosed. The method including: providing a film including a first surface; forming n etching barrier layers on the first surface of the film, and n is an integer larger than or equal to 2; and performing n etching processes on the film to form a recessed structure on the first surface using the n etching barrier layers as masks, the recessed structure includes n bottom surfaces respectively having different depths. Two adjacent etching processes of the n etching processes include a previous etching process and a subsequent etching process, and after the previous etching process is completed, a part of the n etching barrier layers is removed to form a mask for the subsequent etching process; a material of the part of the n etching barrier layers which is removed is different from a material of the mask of the subsequent etching process.
    Type: Grant
    Filed: January 3, 2019
    Date of Patent: August 22, 2023
    Assignees: BEIJING BOE SENSOR TECHNOLOGY CO., LTD., BOE TECHNOLOGY GROUP CO., LTD.
    Inventors: Yue Geng, Yuelei Xiao, Hui Liao, Peizhi Cai, Jian Li, Shenkang Wu
  • Patent number: 11712766
    Abstract: One or more methods of fabricating a microscale canopy wick structure having an array of individual wicks having one or more canopy members. Each method includes selectively etching a substrate to control the thickness of the canopy members and also control the width of a fluid flow channel between adjacent wicks in a manner that enhances the overall performance of the microscale canopy wick structure.
    Type: Grant
    Filed: May 28, 2020
    Date of Patent: August 1, 2023
    Assignee: Toyota Motor Engineering and Manufacturing North America, Inc.
    Inventors: Gaohua Zhu, Evan Fleming, Kimihiro Tsuchiya
  • Patent number: 11710631
    Abstract: Exemplary semiconductor processing methods may include flowing deposition gases that may include a nitrogen-containing precursor, a silicon-containing precursor, and a carrier gas, into a substrate processing region of a substrate processing chamber. The flow rate ratio of the nitrogen-containing precursor to the silicon-containing precursor may be greater than or about 1:1. The methods may further include generating a deposition plasma from the deposition gases to form a silicon-and-nitrogen containing layer on a substrate in the substrate processing chamber. The silicon-and-nitrogen-containing layer may be treated with a treatment plasma, where the treatment plasma is formed from the carrier gas without the silicon-containing precursor. The flow rate of the carrier gas in the treatment plasma may be greater than a flow rate of the carrier gas in the deposition plasma.
    Type: Grant
    Filed: October 23, 2020
    Date of Patent: July 25, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Michael Wenyoung Tsiang, Yichuen Lin, Kevin Hsiao, Hang Yu, Deenesh Padhi, Yijun Liu, Li-Qun Xia
  • Patent number: 11682697
    Abstract: A method includes forming isolation regions extending from a top surface of a semiconductor substrate into the semiconductor substrate, and forming a hard mask strip over the isolation regions and a semiconductor strip, wherein the semiconductor strip is between two neighboring ones of the isolation regions. A dummy gate strip is formed over the hard mask strip, wherein a lengthwise direction of the dummy gate strip is perpendicular to a lengthwise direction of the semiconductor strip, and wherein a portion of the dummy gate strip is aligned to a portion of the semiconductor strip. The method further includes removing the dummy gate strip, removing the hard mask strip, and recessing first portions of the isolation regions that are overlapped by the removed hard mask strip. A portion of the semiconductor strip between and contacting the removed first portions of the isolation regions forms a semiconductor fin.
    Type: Grant
    Filed: August 30, 2021
    Date of Patent: June 20, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Kuo-Cheng Chiang, Shi Ning Ju, Guan-Lin Chen
  • Patent number: 11664450
    Abstract: A high voltage semiconductor device includes a semiconductor substrate, first and second deep well regions, and first and second well regions disposed in the semiconductor substrate. The second deep well region is located above the first deep well region. The first well region is located above the first deep well region. The second well region is located above the second deep well region. A conductivity type of the second deep well region is complementary to that of the first deep well region. A conductivity type of the second well region is complementary to that of the first well region and the second deep well region. A length of the second deep well region is greater than or equal to that of the second well region and less than that of the first deep well region. The first well region is connected with the first deep well region.
    Type: Grant
    Filed: March 29, 2021
    Date of Patent: May 30, 2023
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Ling-Chun Chou, Te-Chi Yen, Yu-Hung Chang, Kun-Hsien Lee, Kai-Lin Lee
  • Patent number: 11640985
    Abstract: Embodiments of the disclosure are in the field of advanced integrated circuit structure fabrication and, in particular, 10 nanometer node and smaller integrated circuit structure fabrication and the resulting structures. In an example, an integrated circuit structure includes a fin comprising silicon, the fin having a lower fin portion and an upper fin portion. A first insulating layer is directly on sidewalls of the lower fin portion of the fin, wherein the first insulating layer is a non-doped insulating layer comprising silicon and oxygen. A second insulating layer is directly on the first insulating layer directly on the sidewalls of the lower fin portion of the fin, the second insulating layer comprising silicon and nitrogen. A dielectric fill material is directly laterally adjacent to the second insulating layer directly on the first insulating layer directly on the sidewalls of the lower fin portion of the fin.
    Type: Grant
    Filed: January 15, 2021
    Date of Patent: May 2, 2023
    Assignee: Intel Corporation
    Inventors: Michael L. Hattendorf, Curtis Ward, Heidi M. Meyer, Tahir Ghani, Christopher P. Auth
  • Patent number: 11581433
    Abstract: A semiconductor device can include: a substrate having a first doping type; a first well region located in the substrate and having a second doping type, where the first well region is located at opposite sides of a first region of the substrate; a source region and a drain region located in the first region, where the source region has the second doping type, and the drain region has the second doping type; and a buried layer having the second doping type located in the substrate and below the first region, where the buried layer is incontact with the first well region, where the first region is surrounded by the buried layer and the first well region, and the first doping type is opposite to the second doping type.
    Type: Grant
    Filed: May 5, 2021
    Date of Patent: February 14, 2023
    Assignee: Silergy Semiconductor Technology (Hangzhou) LTD
    Inventors: Meng Wang, Yicheng Du, Hui Yu
  • Patent number: 11569368
    Abstract: A method for making a semiconductor device may include forming shallow trench isolation (STI) regions in a semiconductor substrate defining an active region therebetween in the semiconductor substrate and a pad oxide on the active region. The method may further include removing at least some of the pad oxide, cleaning the active region to expose an upper surface thereof and define rounded shoulders of the active region adjacent the STI regions having an interior angle of at least 125°, and forming a superlattice on the active region. The superlattice may include a plurality of stacked groups of layers, each group of layers including a plurality of stacked base semiconductor monolayers defining a base semiconductor portion, and at least one non-semiconductor monolayer constrained within a crystal lattice of adjacent base semiconductor portions. The method may further include forming a semiconductor circuit including the superlattice.
    Type: Grant
    Filed: June 11, 2020
    Date of Patent: January 31, 2023
    Assignee: ATOMERA INCORPORATED
    Inventors: Hideki Takeuchi, Yung-Hsuan Yang
  • Patent number: 11569235
    Abstract: A semiconductor device is provided in the disclosure, including a substrate, multiple parallel fins protruding from the substrate and isolated by trenches, and a device insulating layer on the trenches between two fins, wherein the trench is provided with a central first trench and two second trenches at both sides of the first trench, and a depth of the first trench is deeper than a depth of the second trench, and the device insulating layer is provided with a top plane, a first trench and a second trench, and the fins protrude from the top plane, and the bottom surface of the second trench is lower than the bottom surface of the first trench.
    Type: Grant
    Filed: October 21, 2020
    Date of Patent: January 31, 2023
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Chih-Yi Wang, Tien-Shan Hsu, Cheng-Pu Chiu, Yao-Jhan Wang
  • Patent number: 11557502
    Abstract: A method is provided for forming at least one trench to be filled with an isolating material to form an isolating trench, in a substrate based on a semiconductor material, the method including at least the following successive steps: providing a stack including at least the substrate, a first hard mask layer, and a second hard mask layer; making at least a first opening and a second opening, by carrying out isotropic etchings; performing a third, anisotropic, etching of the substrate in line with the second opening, so as to obtain the at least one trench; performing a fourth, isotropic, etching of the first layer so as to enlarge the first opening and obtain a first enlarged opening; and performing a fifth, anisotropic, etching so as to simultaneously enlarge the second opening and increase a depth of the at least one trench.
    Type: Grant
    Filed: July 10, 2020
    Date of Patent: January 17, 2023
    Assignee: COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
    Inventor: Nicolas Posseme
  • Patent number: 11482419
    Abstract: The present disclosure provides a transistor device and a method for preparing the same. The transistor device includes an isolation structure disposed in a substrate, an active region disposed in the substrate and surrounded by the isolation structure, a first upper gate disposed over the active region and a portion of the isolation structure, a source/drain disposed at two sides of the gate, and a pair of first lower gates disposed under the first upper gate and isolated from the active region by the isolation structure. In some embodiments, the pair of first lower gates extend in a first direction, the first upper gate extends in a second direction, and the first direction and the second direction are different.
    Type: Grant
    Filed: November 30, 2020
    Date of Patent: October 25, 2022
    Assignee: NANYA TECHNOLOGY CORPORATION
    Inventors: Jhen-Yu Tsai, Tseng-Fu Lu, Wei-Ming Liao
  • Patent number: 11469169
    Abstract: A capacitor is provided. The capacitor includes a first conductive layer in a first isolation region in a substrate and a plurality of dielectric layers over the first isolation region. The plurality of dielectric layers may include inter layer dielectric (ILD) and inter metal dielectric (IMD) layers. The first conductive layer is a bottom plate of the capacitor. A second conductive layer is arranged over the plurality of dielectric layers, whereby the second conductive layer is a top plate of the capacitor and at least partially overlaps with the first conductive layer.
    Type: Grant
    Filed: November 23, 2020
    Date of Patent: October 11, 2022
    Assignee: GlobalFoundries Singapore Pte. Ltd.
    Inventors: Bong Woong Mun, Jeoung Mo Koo
  • Patent number: 11404559
    Abstract: Embodiments of the disclosure are in the field of advanced integrated circuit structure fabrication and, in particular, 10 nanometer node and smaller integrated circuit structure fabrication and the resulting structures. In an example, an integrated circuit structure includes a fin. An isolation structure surrounds a lower fin portion, the isolation structure comprising an insulating material having a top surface, and a semiconductor material on a portion of the top surface of the insulating material, wherein the semiconductor material is separated from the fin. A gate dielectric layer is over the top of an upper fin portion and laterally adjacent the sidewalls of the upper fin portion, the gate dielectric layer further on the semiconductor material on the portion of the top surface of the insulating material. A gate electrode is over the gate dielectric layer.
    Type: Grant
    Filed: September 21, 2020
    Date of Patent: August 2, 2022
    Assignee: Intel Corporation
    Inventors: Andrew W. Yeoh, Ilsup Jin, Angelo Kandas, Michael L. Hattendorf, Christopher P. Auth
  • Patent number: 11264456
    Abstract: The present disclosure describes a fabrication method that prevents divots during the formation of isolation regions in integrated circuit fabrication. In some embodiments, the method of forming the isolation regions includes depositing a protective layer over a semiconductor layer; patterning the protective layer to expose areas of the semiconductor layer; depositing an oxide on the exposed areas the semiconductor layer and between portions of the patterned protective layer; etching a portion of the patterned protective layer to expose the semiconductor layer; etching the exposed semiconductor layer to form isolation openings in the semiconductor layer; and filling the isolation openings with a dielectric to form the isolation regions.
    Type: Grant
    Filed: April 22, 2020
    Date of Patent: March 1, 2022
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Gulbagh Singh, Hsin-Chi Chen, Kun-Tsang Chuang
  • Patent number: 11195753
    Abstract: Tiered-profile contacts for semiconductor devices and techniques for formation thereof are provided In one aspect, a method for forming tiered-profile contacts to a semiconductor device includes: depositing a first oxide layer over the semiconductor device; depositing a second oxide layer on the first oxide layer; patterning contact trenches through the first/second oxide layer down to the semiconductor device; isotropically etching a top portion of the contact trenches selective to a bottom portion of the contact trenches based on the second oxide layer having a greater etch rate than the first oxide layer to make the top portion of the contact trenches wider than the bottom portion; and filling the contact trenches with a contact metal(s) to form the tiered-profile contacts. Other methods to form tiered-profile contacts using sacrificial spacers as well as structures including the present tiered-profile contacts are also provided.
    Type: Grant
    Filed: September 18, 2018
    Date of Patent: December 7, 2021
    Assignee: International Business Machines Corporation
    Inventors: Kisik Choi, Kangguo Cheng
  • Patent number: 11101166
    Abstract: A semiconductor device includes: a pair of wire patterns configured to extend in a first direction and formed on a substrate to be spaced apart from each other in a second direction, the pair of wire patterns disposed closest to each other in the second direction; a gate electrode configured to extend in the second direction on the substrate, the gate electrode configured to surround the wire patterns; and first isolation layers configured to extend in the first direction between the substrate and the gate electrode and formed to be spaced apart from each other in the second direction, the first isolation layers overlapping the pair of wire patterns in a third direction perpendicular to the first and second directions.
    Type: Grant
    Filed: June 29, 2020
    Date of Patent: August 24, 2021
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Sung Dae Suk, Sang Hoon Lee, Masuoka Sadaaki, Han Su Oh
  • Patent number: 11063042
    Abstract: A semiconductor device having a first region and a second region is provided. The first region has a first protruding structure and a second protruding structure. The second region has a third protruding structure and a fourth protruding structure. First, second, third, and fourth epi-layers are formed on the first, second, third, and fourth protruding structures, respectively. The first and second epi-layers are covered with a first photoresist layer while leaving the third and fourth epi-layers exposed. A dielectric layer is formed over the first photoresist layer and over the third and fourth epi-layers. A portion of the dielectric layer is covered with a second photoresist layer. The portion of the dielectric layer is formed over the third and fourth epi-layers. Portions of the dielectric layer not protected by the first and second photoresist layers are etched. The first and second photoresist layers are removed.
    Type: Grant
    Filed: October 7, 2019
    Date of Patent: July 13, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chen-Han Wang, Chun-Hsiung Lin
  • Patent number: 11063172
    Abstract: A method is provided for producing a device with light emitting/light receiving diodes, including: producing, on a substrate, a stack including first and second doped semiconductor layers; first etching of the stack, forming first openings through the entire thickness of the second layer; producing dielectric portions covering, in the first openings, the side walls of the second layer; second etching of the stack, extending the first openings until reaching the substrate, delimiting the p-n junctions of the diodes; etching extending the first openings into a part of the substrate; producing first electrically conductive portions in the first openings, forming first electrodes of the diodes, and producing second electrodes electrically connected to the second layer; and eliminating the substrate, forming a collimation grid.
    Type: Grant
    Filed: December 6, 2019
    Date of Patent: July 13, 2021
    Assignee: Commissariat A L'Energie Atomique et aux Energies Alternatives
    Inventor: Gabriel Pares
  • Patent number: 10903080
    Abstract: The present disclosure provides a transistor device and a method for preparing the same. The transistor device includes an isolation structure disposed in a substrate, an active region disposed in the substrate and surrounded by the isolation structure, a first upper gate disposed over the active region and a portion of the isolation structure, a source/drain disposed at two sides of the gate, and a pair of first lower gates disposed under the first upper gate and isolated from the active region by the isolation structure. In some embodiments, the pair of first lower gates extend in a first direction, the first upper gate extends in a second direction, and the first direction and the second direction are different.
    Type: Grant
    Filed: August 21, 2018
    Date of Patent: January 26, 2021
    Assignee: Nanya Technology Corporation
    Inventors: Jhen-Yu Tsai, Tseng-Fu Lu, Wei-Ming Liao
  • Patent number: 10770542
    Abstract: An isolation structure of a semiconductor, a semiconductor device having the same, and a method for fabricating the isolation structure are provided. An isolation structure of a semiconductor device may include a trench formed in a substrate, an oxide layer formed on a bottom surface and an inner sidewall of the trench, a filler formed on the oxide layer to fill a part of inside of the trench, and a fourth oxide layer filling an upper portion of the filler of the trench to a height above an upper surface of the trench, an undercut structure being formed on a boundary area between the inner sidewall and the oxide layer.
    Type: Grant
    Filed: June 30, 2015
    Date of Patent: September 8, 2020
    Assignee: MagnaChip Semiconductor, Ltd.
    Inventors: Hyung-suk Choi, Hyun-tae Jung, Eungryul Park, Da-soon Lee
  • Patent number: 10741408
    Abstract: A FinFET device structure and method for forming the same are provided. The fin field effect transistor (FinFET) device structure includes a fin structure formed over a substrate and a gate structure traversing over the fin structure. The gate structure includes a gate electrode layer which includes an upper portion above the fin structure and a lower portion below the fin structure. The upper portion has a top surface with a first width, the lower portion has a bottom surface with a second width, and the first width is greater than the second width.
    Type: Grant
    Filed: April 15, 2019
    Date of Patent: August 11, 2020
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chang-Yin Chen, Chai-Wei Chang, Chia-Yang Liao, Bo-Feng Young
  • Patent number: 10636766
    Abstract: A method of forming a chip assembly may include forming a plurality of cavities in a carrier; The method may further include arranging a die attach liquid in each of the cavities; arranging a plurality of chips on the die attach liquid, each chip comprising a rear side metallization and a rear side interconnect material disposed over the rear side metallization, wherein the rear side interconnect material faces the carrier; evaporating the die attach liquid; and after the evaporating the die attach liquid, fixing the plurality of chips to the carrier.
    Type: Grant
    Filed: November 1, 2017
    Date of Patent: April 28, 2020
    Assignee: INFINEON TECHNOLOGIES AG
    Inventor: Alexander Heinrich
  • Patent number: 10622443
    Abstract: A semiconductor device of the present invention includes a semiconductor substrate, stripe-shaped trenches for separating the semiconductor substrate into a plurality of active regions, a buried film having a projecting portion that projects from the semiconductor substrate, buried into the trenches, a source region and drain region of a second conductivity type, which are a pair of regions formed in the active region, for providing a channel region of a first conductivity type for a region therebetween, and a floating gate consisting of a single layer striding across the source region and the drain region, projecting beyond the projecting portion in a manner not overlapping the projecting portion, in which an aspect ratio of the buried film is 2.3 to 3.67.
    Type: Grant
    Filed: July 20, 2016
    Date of Patent: April 14, 2020
    Assignee: ROHM CO., LTD.
    Inventors: Kunihiko Iwamoto, Bungo Tanaka, Michihiko Mifuji
  • Patent number: 10439026
    Abstract: The present disclosure relates to semiconductor structures and, more particularly, to fin structures with single diffusion break facet improvement using an epitaxial insulator and methods of manufacture. The structure includes: a plurality of fin structures; an insulator material filling a cut between adjacent fin structures of the plurality of fin structures; a metal material (e.g., rare earth oxide or SrTiO3) at least partially lining the cut; and an epitaxial source region or epitaxial drain region in at least one of the plurality of fin structures and adjacent to the metal material.
    Type: Grant
    Filed: October 17, 2017
    Date of Patent: October 8, 2019
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Chun Yu Wong, Hui Zang, Xusheng Wu
  • Patent number: 10276439
    Abstract: After bonding a second substrate to a first substrate through a bonded material layer to provide a bonded structure, through dielectric via (TDV) openings of different depths are concurrently formed in the bonded structure by performing a single anisotropic etch using fluorine-deficient species that are obtained by dissociation of fluorocarbon-containing molecules.
    Type: Grant
    Filed: June 2, 2017
    Date of Patent: April 30, 2019
    Assignee: International Business Machines Corporation
    Inventors: Sebastian U. Engelmann, Li-Wen Hung, Eric Joseph, Eugene O'Sullivan, Jeff Waksman, Cornelia Tsang Yang
  • Patent number: 10273141
    Abstract: A microelectromechanical systems (MEMS) package with roughness for high quality anti-stiction is provided. A device substrate is arranged over a support device. The device substrate comprises a movable element with a lower surface that is rough and that is arranged within a cavity. A dielectric layer is arranged between the support device and the device substrate. The dielectric layer laterally encloses the cavity. An anti-stiction layer lines the lower surface of the movable element. A method for manufacturing the MEMS package is also provided.
    Type: Grant
    Filed: April 26, 2016
    Date of Patent: April 30, 2019
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chih-Hang Chang, I-Shi Wang, Jen-Hao Liu
  • Patent number: 10276427
    Abstract: A semiconductor structure includes a semiconductive substrate including a first surface and a second surface opposite to the first surface, a shallow trench isolation (STI) including a first portion at least partially disposed within the semiconductive substrate and tapered from the first surface towards the second surface, and a second portion disposed inside the semiconductive substrate, coupled with the first portion and extended from the first portion towards the second surface, and a void enclosed by the STI, wherein the void is at least partially disposed within the second portion of the STI.
    Type: Grant
    Filed: May 25, 2018
    Date of Patent: April 30, 2019
    Assignee: Taiwan Semiconductor Manufacturing Company Ltd.
    Inventors: Ching-Chung Su, Jiech-Fun Lu, Jian Wu, Che-Hsiang Hsueh, Ming-Chi Wu, Chi-Yuan Wen, Chun-Chieh Fang, Yu-Lung Yeh
  • Patent number: 10153431
    Abstract: Resistive memory having confined filament formation is described herein. One or more method embodiments include forming an opening in a stack having a silicon material and an oxide material on the silicon material, and forming an oxide material in the opening adjacent the silicon material, wherein the oxide material formed in the opening confines filament formation in the resistive memory cell to an area enclosed by the oxide material formed in the opening.
    Type: Grant
    Filed: July 27, 2017
    Date of Patent: December 11, 2018
    Assignee: Micron Technology, Inc.
    Inventors: Eugene P. Marsh, Jun Liu
  • Patent number: 10128366
    Abstract: A semiconductor device includes a semiconductor substrate, a gate structure formed over the semiconductor substrate, and an epitaxial structure formed partially within the semiconductor substrate. The gate structure includes a gate dielectric layer formed over the semiconductor substrate, a gate electrode formed over the gate dielectric layer, and a spacer formed on side surfaces of the gate dielectric layer and the gate electrode. A laterally extending portion of the epitaxial structure extends laterally at an area below a top surface of the semiconductor substrate in a direction toward an area below the gate structure. A lateral end of the laterally extending portion is below the spacer.
    Type: Grant
    Filed: February 6, 2018
    Date of Patent: November 13, 2018
    Assignee: UNITED MICROELECTRONICS CORPORATION
    Inventors: Yu-Ying Lin, Kuan Hsuan Ku, I-Cheng Hu, Chueh-Yang Liu, Shui-Yen Lu, Yu Shu Lin, Chun Yao Yang, Yu-Ren Wang, Neng-Hui Yang
  • Patent number: 10115601
    Abstract: Embodiments of the invention provide a processing method for selective film formation for raised and recessed features using deposition and etching processes. According to one embodiment, the method includes providing a substrate having a recessed feature with a sidewall and a bottom portion, and depositing a film in the recessed feature and on a field area around the opening of the recessed feature, where the film is non-conformally deposited with a greater film thickness on the bottom portion than on the sidewall and the field area. The method further includes etching the film in an atomic layer etching (ALE) process in the absence of a plasma, where the etching thins the film on the bottom portion and removes the film from the sidewall and the field area, and repeating the depositing and the etching at least once to increase the film thickness of on the bottom portion.
    Type: Grant
    Filed: February 1, 2017
    Date of Patent: October 30, 2018
    Assignee: Tokyo Electron Limited
    Inventor: Kandabara N. Tapily
  • Patent number: 10096589
    Abstract: A method comprises forming an active region including a first fin and a second fin over a substrate, wherein the first fin and the second fin are separated by an isolation region, depositing an epitaxial growth block layer over the active region, patterning the epitaxial growth block layer to define a first growth area and a second growth area and growing an N+ region in the first growth area and a P+ region in the second growth area.
    Type: Grant
    Filed: July 10, 2015
    Date of Patent: October 9, 2018
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Wun-Jie Lin, Bo-Ting Chen, Jen-Chou Tseng, Ming-Hsiang Song
  • Patent number: 10053360
    Abstract: A method of processing a semiconductor substrate having a first conductivity type includes, in part, forming a first implant region of a second conductivity type in the semiconductor substrate where the first implant region is characterized by a first depth, forming a second implant region of the first conductivity type in the semiconductor substrate where the second implant region is characterized by a second depth smaller than the first depth, forming a porous layer within the semiconductor substrate where the porous layer is adjacent the first implant region, and growing an epitaxial layer on the semiconductor substrate thereby causing the porous layer to collapse and form a cavity.
    Type: Grant
    Filed: August 24, 2017
    Date of Patent: August 21, 2018
    Assignee: Kionix, Inc.
    Inventor: Martin Heller
  • Patent number: 9966265
    Abstract: A method for manufacturing a semiconductor device includes providing a substrate structure including a substrate having multiple structures. Each of the structures includes an active region isolated by trenches in the substrate, an insulating layer on the active region, and a hardmask layer on the insulating layer. The method also includes performing a first ion implantation into a first structure configured to form a first type device, performing a pull-back process on the hardmask layer and on the insulating layer of the first structure to form a receded hardmask layer and a receded insulating layer and expose a corner portion of the active region, and performing a rounding process on the exposed corner portion. The rounded corner portion of the active region has an increased curvature radius that reduces the concentration of the electric field and improves the reliability of the semiconductor device.
    Type: Grant
    Filed: November 8, 2016
    Date of Patent: May 8, 2018
    Assignees: Semiconductor Manufacturing International (Shanghai) Corporation, Semiconductor Manufacturing International (Beijing) Corporation
    Inventors: Shuai Zhang, Bo Hong, Jui Lin Lu
  • Patent number: 9935147
    Abstract: An image sensor device includes a substrate having a front surface and a back surface, and a deep trench disposed at the front surface of the substrate. The deep trench has sidewalls, a bottom and an opening. A dielectric layer is disposed along the sidewalls and the bottom of the deep trench. An epitaxial layer is disposed on the front surface of the substrate. The deep trench and the epitaxial layer collectively define an air chamber. The deep trench has a chamfered portion at an interface between the epitaxial layer and the front surface of the substrate. The chamfered portion is free of dielectric layer.
    Type: Grant
    Filed: November 19, 2016
    Date of Patent: April 3, 2018
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Hsin-Hung Chen, Dun-Nian Yaung, Jen-Cheng Liu, Alexander Kalnitsky, Wen-De Wang
  • Patent number: 9847425
    Abstract: A method includes forming a first hard mask over a semiconductor substrate, etching the semiconductor substrate to form recesses, with a semiconductor strip located between two neighboring ones of the recesses, forming a second hard mask on sidewalls of the semiconductor strip, performing a first anisotropic etch on the second hard mask to remove horizontal portions of the second hard mask, and performing a second anisotropic etch on the semiconductor substrate using the first hard mask and vertical portions of the second hard mask as an etching mask to extend the recesses down. The method further includes removing the vertical portions of the second hard mask, and forming isolation regions in the recesses. The isolation regions are recessed, and a portion of the semiconductor strip between the isolation regions protrudes higher than the isolation regions to form a semiconductor fin.
    Type: Grant
    Filed: July 7, 2016
    Date of Patent: December 19, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Kuo-Cheng Ching, Shi Ning Ju, Ching-Wei Tsai, Chih-Hao Wang, Ying-Keung Leung, Carlos H. Diaz
  • Patent number: 9786496
    Abstract: Methods of densifying films, cross-linking films, and controlling the stress of films are provided herein. Methods include forming a removable film on a substrate comprising a material to be densified, and annealing the substrate to transfer stress from the removable film to the material and thereby densify the material. Some methods involve depositing a tensile capping layer on the material to be densified on a substrate and annealing the substrate at a temperature greater than about 450° C. Some methods include clamping the substrate including the material to be densified to a shaped pedestal using an electrostatic chuck to apply compressive stress to the material to be densified.
    Type: Grant
    Filed: October 30, 2015
    Date of Patent: October 10, 2017
    Assignee: Lam Research Corporation
    Inventors: Bart van Schravendijk, Wei Tang
  • Patent number: 9780216
    Abstract: An embodiment fin field effect transistor (finFET) includes a fin extending upwards from a semiconductor substrate and a gate stack. The fin includes a channel region. The gate stack is disposed over and covers sidewalls of the channel region. The channel region includes at least two different semiconductor materials.
    Type: Grant
    Filed: March 19, 2014
    Date of Patent: October 3, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yu-Lien Huang, Tsu-Hsiu Perng, Tung Ying Lee, Ming-Huan Tsai, Clement Hsingjen Wann
  • Patent number: 9722178
    Abstract: Resistive memory having confined filament formation is described herein. One or more method embodiments include forming an opening in a stack having a silicon material and an oxide material on the silicon material, and forming an oxide material in the opening adjacent the silicon material, wherein the oxide material formed in the opening confines filament formation in the resistive memory cell to an are enclosed by the oxide material formed in the opening.
    Type: Grant
    Filed: July 11, 2016
    Date of Patent: August 1, 2017
    Assignee: Micron Technology, Inc.
    Inventors: Eugene P. Marsh, Jun Liu