Using Trench Refilling With Dielectric Materials (epo) Patents (Class 257/E21.546)
  • Patent number: 12261132
    Abstract: Chip sealing structures and methods of manufacture are described. In an embodiment, a chip structure includes a main body area formed of a substrate, a back-end-of-the-line (BEOL) build-up structure spanning over the substrate, and chip edge sidewalls extending from a back surface of the substrate to a top surface of the BEOL build-up structure and laterally surrounding the substrate and the BEOL build-up structure. In accordance with embodiments, the chip structure may further include a conformal sealing layer covering at least a first chip edge sidewall of the chip edge sidewalls and a portion of the top surface of the BEOL build-up structure, and forming a lip around the top surface of the BEOL build-up structure.
    Type: Grant
    Filed: October 12, 2023
    Date of Patent: March 25, 2025
    Assignee: Apple Inc.
    Inventors: Vidhya Ramachandran, Sanjay Dabral, SivaChandra Jangam, Jun Zhai, Kunzhong Hu
  • Patent number: 12261228
    Abstract: In some implementations, one or more semiconductor processing tools may form a triple-stacked polysilicon structure on a substrate of a semiconductor device. The one or more semiconductor processing tools may form one or more polysilicon-based devices on the substrate of the semiconductor device, wherein the triple-stacked polysilicon structure has a first height that is greater than one or more second heights of the one or more polysilicon-based devices. The one or more semiconductor processing tools may perform a chemical-mechanical polishing (CMP) operation on the semiconductor device, wherein performing the CMP operation comprises using the triple-stacked polysilicon structure as a stop layer for the CMP operation.
    Type: Grant
    Filed: January 23, 2023
    Date of Patent: March 25, 2025
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chi-Chung Jen, Ya-Chi Hung, Yu-Chun Shen, Shun-Neng Wang, Wen-Chih Chiang
  • Patent number: 12261142
    Abstract: A structure including a first semiconductor die and a second semiconductor die is provided. The first semiconductor die includes a first bonding structure. The first bonding structure includes a first dielectric layer and first conductors embedded in the first dielectric layer. The second semiconductor die includes a second bonding structure. The second bonding structure includes a second dielectric layer and second conductors embedded in the second dielectric layer. The first dielectric layer is in contact with the second dielectric layer, and the first conductors are in contact with the second conductors. Thermal conductivity of the first dielectric layer and the second dielectric layer is greater than thermal conductivity of silicon dioxide.
    Type: Grant
    Filed: October 18, 2023
    Date of Patent: March 25, 2025
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Hua Yu, Chun-Hui Yu, Jeng-Nan Hung, Kuo-Chung Yee, Po-Fan Lin
  • Patent number: 12255205
    Abstract: A semiconductor device with isolation structures of different dielectric constants and a method of fabricating the same are disclosed. The semiconductor device includes fin structures with first and second fin portions disposed on first and second device areas on a substrate and first and second pair of gate structures disposed on the first and second fin portions. The second pair of gate structures is electrically isolated from the first pair of gate structures. The semiconductor device further includes a first isolation structure interposed between the first pair of gate structures and a second isolation structure interposed between the second pair of gate structures. The first isolation structure includes a first nitride liner and a first oxide fill layer. The second isolation structure includes a second nitride liner and a second oxide fill layer. The second nitride layer is thicker than the first nitride layer.
    Type: Grant
    Filed: May 27, 2022
    Date of Patent: March 18, 2025
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chieh-Ping Wang, Tai-Chun Huang, Yung-Cheng Lu, Ting-Gang Chen, Chi On Chui
  • Patent number: 12252790
    Abstract: The disclosure relates to methods of filling gaps in semiconductor substrates. A method of filling a gap is disclosed. The method including providing a substrate having a gap in a reaction chamber, providing a first precursor including silicon and carbon into the reaction chamber in a vapor phase, wherein the first precursor includes at least one unsaturated carbon-carbon bond and at least one atom selected from oxygen and nitrogen. The method further includes providing a first plasma into the reaction chamber to polymerize the first precursor for forming a gap filling material, thereby at least partially filling the gap with the gap filling material. In some embodiments, the at least one unsaturated bond is a double bond.
    Type: Grant
    Filed: September 13, 2023
    Date of Patent: March 18, 2025
    Assignee: ASM IP Holding B.V.
    Inventors: Tommi Tynell, Viljami Pore
  • Patent number: 12243769
    Abstract: A method for preparing a semiconductor device structure includes forming a nitrogen-containing pattern over a semiconductor substrate. The method also includes performing an energy treating process to form a transformed portion in the semiconductor substrate and covered by the nitrogen-containing pattern. The method further includes etching the semiconductor substrate such that the transformed portion is surrounded by an opening structure.
    Type: Grant
    Filed: May 3, 2022
    Date of Patent: March 4, 2025
    Assignee: NANYA TECHNOLOGY CORPORATION
    Inventor: Cheng-Hsiang Fan
  • Patent number: 12237369
    Abstract: A semiconductor device includes a substrate; at least one trench located at a top surface of the substrate; and a first dielectric layer, a second dielectric layer and a third dielectric layer that are sequentially stacked on an inner wall of each of the at least one trench. A topmost surface of the first dielectric layer is lower than a topmost surface of the second dielectric layer and the top surface of the substrate, to form a first groove between the second dielectric layer and the substrate. An edge corner between the top surface of the substrate and the inner wall of each of the at least one trench is in a shape of a fillet curve. The fillet structure is smooth and round without a sharp corner, reducing point discharge and improving reliability of the shallow trench isolation structure.
    Type: Grant
    Filed: October 16, 2023
    Date of Patent: February 25, 2025
    Assignee: Fujian Jinhua Integrated Circuit Co., Ltd.
    Inventors: Huixian Lai, Yu Cheng Tung, Chao-Wei Lin, Chiayi Chu
  • Patent number: 12218228
    Abstract: Provided is a semiconductor device, including: a semiconductor substrate including a bulk donor; an active portion provided on the semiconductor substrate; and an edge termination structure portion provided between the active portion and an end side of the semiconductor substrate on a upper surface of the semiconductor substrate; wherein the active portion includes hydrogen, and has a first high concentration region with a higher donor concentration than a bulk donor concentration; and the edge termination structure portion, which is provided in a range that is wider than the first high concentration region in a depth direction of the semiconductor substrate, includes hydrogen, and has a second high concentration region with a higher donor concentration than the bulk donor concentration.
    Type: Grant
    Filed: August 24, 2021
    Date of Patent: February 4, 2025
    Assignee: FUJI ELECTRIC CO., LTD.
    Inventors: Koh Yoshikawa, Masayuki Momose, Toshiyuki Matsui
  • Patent number: 12217969
    Abstract: A silicon dry etching method of the invention, includes: preparing a silicon substrate; forming a mask pattern having an opening on the silicon substrate; forming a deposition layer on the silicon substrate in accordance with the mask pattern while introducing a first gas; carrying out a dry etching process with respect to the silicon substrate in accordance with the mask pattern while introducing a second gas, and thereby forming a recess pattern on a surface of the silicon substrate; and carrying out an ashing process with respect to the silicon substrate while introducing a third gas.
    Type: Grant
    Filed: August 3, 2021
    Date of Patent: February 4, 2025
    Assignee: ULVAC, Inc
    Inventors: Kenta Doi, Toshiyuki Sakuishi, Toshiyuki Nakamura, Yasuhiro Morikawa
  • Patent number: 12211917
    Abstract: A method includes providing a structure having a substrate and first and second semiconductor layers alternately stacked one over another above the substrate, etching the first and the second semiconductor layers to form a first continuous ring in a seal ring region of the structure, and forming an isolation structure adjacent the first continuous ring in the seal ring region. The method further includes forming a dummy gate structure that is disposed directly above the first continuous ring and completely within a boundary of the first continuous ring from a top view, growing first and second epitaxial features sandwiching the dummy gate structure, removing the dummy gate structure, resulting in a gate trench that exposes a topmost layer of the first semiconductor layers and does not expose side surfaces of the first and second semiconductor layers, and depositing a gate structure in the gate trench.
    Type: Grant
    Filed: June 5, 2022
    Date of Patent: January 28, 2025
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chun Yu Chen, Yen Lian Lai
  • Patent number: 12198974
    Abstract: A semiconductor device and a method of forming the same are provided. The method includes forming a trench in a substrate. A liner layer is formed along sidewalls and a bottom of the trench. A silicon-rich layer is formed over the liner layer. Forming the silicon-rich layer includes flowing a first silicon precursor into a process chamber for a first time interval, and flowing a second silicon precursor and a first oxygen precursor into the process chamber for a second time interval. The second time interval is different from the first time interval. The method further includes forming a dielectric layer over the silicon-rich layer.
    Type: Grant
    Filed: July 13, 2023
    Date of Patent: January 14, 2025
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Cheng-I Lin, Bang-Tai Tang
  • Patent number: 12176216
    Abstract: There is provided a technique that includes: organically terminating a first region of a substrate by supplying an adsorption control agent containing an organic ligand to the substrate while regulating a temperature of the substrate including the first region and a second region different from the first region formed on a surface of the substrate depending on a composition of the first region; and selectively growing a film on the second region by supplying a deposition gas to the substrate.
    Type: Grant
    Filed: January 15, 2021
    Date of Patent: December 24, 2024
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventors: Motomu Degai, Kimihiko Nakatani, Hiroshi Ashihara
  • Patent number: 12176207
    Abstract: A method of forming a semiconductor structure, the method comprises: providing a non-planar surface in the manufacturing of a silicon carbide (SiC) device; depositing a reflowable dielectric material on said non-planar surface; and heating said reflowable dielectric material to a temperature and for a time sufficient to cause reflowing of said reflowable dielectric material and thereby provide a dielectric layer comprising a substantially planar surface, wherein said dielectric layer is substantially free of voids.
    Type: Grant
    Filed: April 22, 2020
    Date of Patent: December 24, 2024
    Assignee: X-FAB Texas, Inc.
    Inventors: Daniel Mauch, Yon Lee, John Ransom, Stephen Duran
  • Patent number: 12166034
    Abstract: A semiconductor device includes a silicon substrate and a fin formed above the substrate. The fin provides active regions for two devices, such as gate-all-around transistors. The semiconductor device also includes a fin-insulating structure positioned to electrically isolate the active regions for the two devices. The fin-insulating structure is formed in a trench, with a first portion adjacent the fin and a second portion below the fin and extending into the substrate. The fin-insulating structure includes an oxide liner in the second portion of the trench, but not the first portion. The fin-insulating structure is further filled with an insulating material such as silicon nitride.
    Type: Grant
    Filed: August 27, 2021
    Date of Patent: December 10, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chi-Wei Wu, Hsin-Che Chiang, Chun-Sheng Liang, Jeng-Ya Yeh
  • Patent number: 12166032
    Abstract: A semiconductor structure includes a substrate, a gate dielectric layer and a conductive layer that are stacked, and the gate dielectric layer is located between the substrate and the conductive layer. The substrate includes a semiconductor substrate and an insulating substrate which are arranged on the same layer. The conductive layer includes: a gate conductor layer, a projection of which on the substrate covers the semiconductor substrate, and an external connecting layer, a projection of which on the substrate covers the insulating substrate. A groove is formed on a bottom surface, towards the substrate, of the external connecting layer and the groove is filled with an insulator.
    Type: Grant
    Filed: August 26, 2021
    Date of Patent: December 10, 2024
    Assignee: CHANGXIN MEMORY TECHNOLOGIES, INC.
    Inventor: Ching-Lun Ma
  • Patent number: 12142539
    Abstract: A semiconductor structure includes a substrate, a first support layer, and multiple support pillars. The substrate includes a monitoring region. The monitoring region includes a first region and a second region. The first support layer is located in the first region and the second region, and is located above the substrate. The support pillars are located in the second region. The support pillars penetrate the first support layer and are not connected to each other. Each of the support pillars extends toward the substrate.
    Type: Grant
    Filed: May 10, 2022
    Date of Patent: November 12, 2024
    Assignee: Winbond Electronics Corp.
    Inventors: Ming-Chih Hsu, Chiung-Lin Hsu
  • Patent number: 12125936
    Abstract: Provided is a method for passivating a silicon-based semiconductor device and a silicon-based semiconductor device. The method includes the following steps: cutting, by using a cutting process, a preset region of the silicon-based semiconductor device, to form a first surface associated with the preset region; smoothing the first surface to adjust a surface appearance of the first surface, so that a height difference between a protrusion and a recess of a non-marginal region on the first surface is less than 20 nm; and passivating the smoothed first surface to form a first passivation layer on the first surface. The present application can reduce or avoid the problem of efficiency reduction caused by cutting a silicon-based semiconductor device and help to obtain a more efficient silicon-based semiconductor device.
    Type: Grant
    Filed: September 29, 2020
    Date of Patent: October 22, 2024
    Assignees: JINKO GREEN ENERGY (SHANGHAI) MANAGEMENT CO., LTD, ZHEJIANG JINKO SOLAR CO., LTD
    Inventors: Xueting Yuan, Xinyu Zhang
  • Patent number: 12125714
    Abstract: Methods for forming a trench structure with passivated surfaces. In some embodiments, a method of forming a trench structure may include etching a trench into a substrate material of the substrate, forming an oxide layer on surfaces of the trench using a dry oxide process at a temperature of less than approximately 450 degrees Celsius, selectively removing the oxide layer from surfaces of the trench, and forming a passivation layer on surfaces of the trench to form a homogeneous passivation region as part of the substrate material using a low temperature process of less than approximately 450 degrees Celsius.
    Type: Grant
    Filed: May 4, 2022
    Date of Patent: October 22, 2024
    Assignee: APPLIED MATERIALS, INC.
    Inventor: Taichou Papo Chen
  • Patent number: 12119345
    Abstract: A semiconductor structure includes a first FinFET device disposed over a substrate, a second FinFET device disposed over the substrate, and an isolation structure. The first FinFET device includes at least a first fin and a first metal gate structure over the first fin. The second FinFET device includes at least a second fin and a second metal gate structure over the second fin. The isolation structure is disposed between the first metal gate structure and the second metal gate structure. The isolation structure includes a dielectric feature and a dielectric layer. The dielectric layer is between the dielectric feature and the first metal gate structure, between the dielectric feature and the second metal gate structure, and between the dielectric feature and the substrate. The dielectric feature and the dielectric layer include different materials and different thicknesses.
    Type: Grant
    Filed: August 6, 2021
    Date of Patent: October 15, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Chia-Ho Chu, Yung-Chung Chen, Chih-Tang Peng
  • Patent number: 12120869
    Abstract: The present disclosure provides a method for forming a semiconductor structure, which includes: forming first trench structures and second trench structures in a substrate, wherein each of the first trench structures is located between two active regions arranged along a first direction, each of the second trench structures is located between two adjacent active regions arranged along a second direction, and the first trench structures are in communication with the second trench structures; forming first isolation structures and second isolation structures; and forming word lines.
    Type: Grant
    Filed: January 13, 2022
    Date of Patent: October 15, 2024
    Assignee: CHANGXIN MEMORY TECHNOLOGIES, INC.
    Inventor: Mengna Zhu
  • Patent number: 12107122
    Abstract: An integrated circuit device includes: a fin-type active region on a substrate and including a fin top surface at a first level; a gate line on the fin-type active region; and an insulating structure on a sidewall of the fin-type active region. The insulating structure includes: a first insulating liner in contact with a sidewall of the fin-type active region; a second insulating liner on the first insulating liner and including an uppermost portion at a second level c than the first level; a lower buried insulating layer facing the sidewall of the fin-type active region and including a first top surface facing the gate line at a third level lower than the second level; and an upper buried insulating layer between the lower buried insulating layer and the gate line and including a second top surface at a fourth level equal to or higher than the second level.
    Type: Grant
    Filed: April 26, 2023
    Date of Patent: October 1, 2024
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sunki Min, Donghyun Roh, Chaeho Na
  • Patent number: 12094757
    Abstract: A method for manufacturing a semiconductor device is provided. The method includes forming at least one epitaxial layer over a substrate; patterning the epitaxial layer into a semiconductor fin; depositing a conformal semiconductor capping layer over the semiconductor fin, wherein the conformal semiconductor capping layer has a first portion that is amorphous; performing a thermal treatment such that the first portion of the conformal semiconductor capping layer is converted from amorphous into crystalline; depositing a dielectric material over the conformal semiconductor capping layer; annealing the dielectric material, such that the conformal semiconductor capping layer is converted into a semiconductor-containing oxide layer; recessing the dielectric material and the semiconductor-containing oxide layer to form an isolation structure around the semiconductor fin; and forming a gate structure over the semiconductor fin and the isolation structure.
    Type: Grant
    Filed: May 8, 2023
    Date of Patent: September 17, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Po-Kai Hsiao, Tsai-Yu Huang, Hui-Cheng Chang, Yee-Chia Yeo
  • Patent number: 12057343
    Abstract: A semiconductor device includes a first gate structure disposed over a substrate. The first gate structure extends in a first direction. A second gate structure is disposed over the substrate. The second gate structure extends in the first direction. A dielectric material is disposed between the first gate structure and the second gate structure. An air gap is disposed within the dielectric material.
    Type: Grant
    Filed: June 16, 2021
    Date of Patent: August 6, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Che-Cheng Chang, Chih-Han Lin
  • Patent number: 12057319
    Abstract: A method is provided, including the following method operations: generating a deuterium plasma, the deuterium plasma including a plurality of energetic deuterium atoms; and directing one or more of the plurality of energetic deuterium atoms to a surface of a substrate, the surface of the substrate having a region of silicon dioxide, the region of silicon dioxide having an underlying silicon layer; wherein the one or more of the plurality of energetic deuterium atoms selectively etch the region of silicon oxide, to the exclusion of the underlying silicon layer.
    Type: Grant
    Filed: March 12, 2020
    Date of Patent: August 6, 2024
    Assignee: Lam Research Corporation
    Inventors: Juline Shoeb, Alexander Paterson
  • Patent number: 12052861
    Abstract: An EEPROM memory integrated circuit includes memory cells arranged in a memory plane. Each memory cell includes an access transistor in series with a state transistor. Each access transistor is coupled, via its source region, to the corresponding source line and each state transistor is coupled, via its drain region, to the corresponding bit line. The floating gate of each state transistor rests on a dielectric layer having a first part with a first thickness, and a second part with a second thickness that is less than the first thickness. The second part is located on the source side of the state transistor.
    Type: Grant
    Filed: May 22, 2023
    Date of Patent: July 30, 2024
    Assignee: STMicroelectronics (Rousset) SAS
    Inventor: François Tailliet
  • Patent number: 12040366
    Abstract: A method for forming a semiconductor structure. Two isolation structures are formed in a semiconductor. A cavity is etched in the semiconductor between the two isolation structures in the semiconductor. Dopants are implanted into a bottom side of the cavity to form a doped region in the semiconductor below the cavity between the two isolation structures. A contact is formed in the cavity. The contact is on the doped region and in direct contact with the doped region.
    Type: Grant
    Filed: June 8, 2021
    Date of Patent: July 16, 2024
    Assignee: The Boeing Company
    Inventors: Kangmu Min Lee, Maxwell Daehan Choi, Jeffrey Alden Wright, Wonill Ha, Clayton Jackson, Michael Pemberton Jura, Adele Schmitz, James Chappell
  • Patent number: 12034042
    Abstract: A semiconductor device includes first and second active patterns on a substrate, the first and second active patterns adjacent to each other in a first direction with a first trench between the first and second active patterns, third and fourth active patterns on the substrate, the third and fourth active patterns adjacent to each other in the first direction with a second trench between the third and fourth active patterns. The semiconductor device includes a first device isolation layer in the first trench, and a second device isolation layer in the second trench. A width of the second trench in the first direction is greater than a width of the first trench in the first direction. The second device isolation layer includes a first protrusion and a second protrusion which protrude from a top surface of the second device isolation layer.
    Type: Grant
    Filed: July 11, 2023
    Date of Patent: July 9, 2024
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Gyuhwan Ahn, Sung Soo Kim, Chaeho Na, Woongsik Nam, Donghyun Roh
  • Patent number: 12027374
    Abstract: Embodiments of the present disclosure generally relate to fabricating electronic devices, such as memory devices. In one or more embodiments, a method for forming a device includes forming a film stack on a substrate, where the film stack contains a plurality of alternating layers of oxide layers and nitride layers and has a stack thickness, and etching the film stack to a first depth to form a plurality of openings between a plurality of structures. The method includes depositing an etch protection liner containing amorphous-silicon on the sidewalls and the bottoms of the structures, removing the etch protection liner from at least the bottoms of the openings, forming a plurality of holes by etching the film stack in the openings to further extend each bottom of the openings to a second depth of the hole, and removing the etch protection liner from the sidewalls.
    Type: Grant
    Filed: April 30, 2021
    Date of Patent: July 2, 2024
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Zeqing Shen, Bo Qi, Abhijit B. Mallick
  • Patent number: 12019966
    Abstract: A method includes identifying isolated shapes within a semiconductor design. The isolated shapes correspond to patterns of layers of components of the semiconductor design. The method also includes identifying one or more unique patterns among the isolated shapes, generating a virtual isolated pattern layer including data associated with the isolated shapes and the one or more unique patterns, determining whether a unique pattern of the one or more unique patterns satisfies a design rule based on the data of the virtual isolated pattern layer and producing an updated semiconductor design based on the determination that the unique pattern satisfies the design rule.
    Type: Grant
    Filed: September 3, 2021
    Date of Patent: June 25, 2024
    Assignee: SYNOPSYS, INC.
    Inventors: Jun Chen, James Lewis Nance, Karthikeyan Muthalagu, Nathaniel Garrett Brooks
  • Patent number: 12016177
    Abstract: A semiconductor device comprises a substrate; an element isolation film that defines a first active region in the substrate; a first gate electrode on the first active region; a first source/drain region located inside the first active region between the element isolation film and the first gate electrode; and an isolation contact that extends in a vertical direction intersecting an upper face of the substrate, in the element isolation film. The isolation contact is configured to have a voltage applied thereto.
    Type: Grant
    Filed: March 24, 2021
    Date of Patent: June 18, 2024
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hak Seon Kim, Byung Joo Go, Sung Kweon Baek, Jae Hwa Seo, Chang Heon Lee
  • Patent number: 12002771
    Abstract: A semiconductor device includes a conductive pad over an interconnect structure, wherein the conductive pad is electrically connected to an active device. The semiconductor device further includes a dielectric layer over the conductive pad, wherein the dielectric layer has a first conformity. The semiconductor device further includes a passivation layer over the dielectric layer, wherein the passivation layer has a second conformity different from the first conformity.
    Type: Grant
    Filed: May 13, 2021
    Date of Patent: June 4, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Yu-Lung Shih, Chao-Keng Li, Alan Kuo, C. C. Chang, Yi-An Lin
  • Patent number: 11996268
    Abstract: A plasma processing apparatus for processing a workpiece with plasma includes a stage configured to place thereon the workpiece, a waveguide part configured to introduce plasma-generating electromagnetic waves in a VHF band into the plasma processing apparatus, and a dielectric window configured to transmit the electromagnetic waves introduced through the waveguide part to a plasma processing space formed on a workpiece placement side of the stage. The dielectric window is an annular member disposed so as to face a plasma processing space side of the stage and includes multiple convex portions, which protrude toward the stage and are arranged on the stage side along a circumferential direction at regular intervals. The convex portions each has a circumferential width of ? to ? of the wavelength of the electromagnetic waves inside the dielectric window.
    Type: Grant
    Filed: September 19, 2019
    Date of Patent: May 28, 2024
    Assignee: Tokyo Electron Limited
    Inventor: Toshihiko Iwao
  • Patent number: 11996284
    Abstract: Methods for depositing silicon oxycarbonitride (SiOCN) thin films on a substrate in a reaction space are provided. The methods can include at least one plasma enhanced atomic layer deposition (PEALD) cycle including alternately and sequentially contacting the substrate with a silicon precursor and a second reactant that does not include oxygen. In some embodiments the methods allow for the deposition of SiOCN films having improved acid-based wet etch resistance.
    Type: Grant
    Filed: August 13, 2021
    Date of Patent: May 28, 2024
    Assignee: ASM IP Holding B.V.
    Inventors: Toshiya Suzuki, Viljami J. Pore
  • Patent number: 11990507
    Abstract: A high voltage transistor structure including a substrate, a first isolation structure, a second isolation structure, a gate structure, a first source and drain region, and a second source and drain region is provided. The first isolation structure and the second isolation structure are disposed in the substrate. The gate structure is disposed on the substrate, at least a portion of the first isolation structure, and at least a portion of the second isolation structure. The first source and drain region and the second source and drain region are located in the substrate on two sides of the first isolation structure and the second isolation structure. The depth of the first isolation structure is greater than the depth of the second isolation structure.
    Type: Grant
    Filed: August 16, 2021
    Date of Patent: May 21, 2024
    Assignee: United Microelectronics Corp.
    Inventors: Chin-Hung Chen, Ssu-I Fu, Chih-Kai Hsu, Chun-Ya Chiu, Chia-Jung Hsu, Yu-Hsiang Lin
  • Patent number: 11970387
    Abstract: A micro-electro mechanical system (MEMS) device includes a MEMS substrate, at least one movable element laterally confined within a matrix layer that overlies the MEMS substrate, and a cap substrate bonded to the matrix layer through bonding material portions. A first movable element selected from the at least one movable element is located inside a first chamber that is laterally bounded by the matrix layer and vertically bounded by a first capping surface that overlies the first movable element. The first capping surface includes an array of downward-protruding bumps including respective portions of a dielectric material layer. Each of the downward-protruding bumps has a vertical cross-sectional profile of an inverted hillock. The MEMS device can include, for example, an accelerometer.
    Type: Grant
    Filed: March 21, 2022
    Date of Patent: April 30, 2024
    Assignee: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Chun-wen Cheng, Chi-Hang Chin, Kuei-Sung Chang
  • Patent number: 11965246
    Abstract: A method of depositing a film over a substrate covered with at least an insulating film provided with a groove is provided. In the method, a deposition process for depositing the film is performed by supplying at least a raw material gas to the substrate. The raw material gas is supplied while changing an amount of the raw material gas supplied per unit time.
    Type: Grant
    Filed: June 10, 2019
    Date of Patent: April 23, 2024
    Assignee: Tokyo Electron Limited
    Inventor: Naonori Fujiwara
  • Patent number: 11955530
    Abstract: An integrated circuit includes a substrate having a first conductivity type. A well formed at an upper surface has a second, opposite conductivity type and a first dopant concentration. First and second STI structures are formed and a polysilicon gate structure is formed between the first and second STI structures. The polysilicon gate structure extends over a first side of the first STI structure and over a first side of the second STI structure. A first doped region is formed within the well at the upper surface and on a second side of the first STI structure and a second doped region is formed within the well at the upper surface and on a second side of the second STI structure. The first and second doped regions each have the second conductivity type and a second dopant concentration that is greater than the first dopant concentration.
    Type: Grant
    Filed: October 29, 2021
    Date of Patent: April 9, 2024
    Assignee: Texas Instruments Incorporated
    Inventors: Jeff Archimedes Babcock, Will David French, Dahlstrom Erik Mattias
  • Patent number: 11937428
    Abstract: A method used in forming a memory array comprising strings of memory cells comprises forming a stack comprising vertically-alternating first tiers and second tiers. Intervening material is formed into the stack laterally-between and longitudinally-along immediately-laterally-adjacent memory block regions. The forming of the intervening material comprises forming pillars laterally-between and longitudinally-spaced-along the immediately-laterally-adjacent memory-block regions. The pillars individually extend through multiple of each of the first tiers and the second tiers. After forming the pillars, an intervening opening is formed individually alongside and between immediately-longitudinally-adjacent of the pillars. Fill material is formed in the intervening openings. Other embodiments, including structure, are disclosed.
    Type: Grant
    Filed: November 2, 2021
    Date of Patent: March 19, 2024
    Assignee: Micron Technology, Inc.
    Inventor: Matthew J. King
  • Patent number: 11935752
    Abstract: A device includes a first dielectric layer, a first conductor, an etch stop layer, a second dielectric layer, and a second conductor. The first conductor is in the first dielectric layer. The etch stop layer is over the first dielectric layer. The etch stop layer has a first surface facing the first dielectric layer and a second surface facing away from the first dielectric layer, and a concentration of carbon in the etch stop layer periodically varies from the first surface to the second surface. The second dielectric layer is over the etch stop layer. The second conductor is in the second dielectric layer and the etch stop layer and electrically connected to the first conductor.
    Type: Grant
    Filed: March 12, 2021
    Date of Patent: March 19, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Yu-Yun Peng, Chung-Chi Ko, Keng-Chu Lin
  • Patent number: 11901442
    Abstract: In a method of manufacturing a semiconductor device, a fin structure having a channel region protruding from an isolation insulating layer disposed over a semiconductor substrate is formed, a cleaning operation is performed, and an epitaxial semiconductor layer is formed over the channel region. The cleaning operation and the forming the epitaxial semiconductor layer are performed in a same chamber without breaking vacuum.
    Type: Grant
    Filed: July 27, 2022
    Date of Patent: February 13, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Ya-Wen Chiu, Yi Che Chan, Lun-Kuang Tan, Zheng-Yang Pan, Cheng-Po Chau, Pin-Chu Liang, Hung-Yao Chen, De-Wei Yu, Yi-Cheng Li
  • Patent number: 11901358
    Abstract: A method of manufacturing a semiconductor device includes forming a dummy gate structure on a substrate, partially removing the dummy gate structure to form a first opening that divides the dummy gate structure, forming a first division pattern structure in the first opening, replacing the dummy gate structure with a gate structure, removing the first division pattern structure to form a second opening, removing a portion of the gate structure from a sidewall of the second opening to enlarge the second opening, and forming a second division pattern in the enlarged second opening.
    Type: Grant
    Filed: October 8, 2021
    Date of Patent: February 13, 2024
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Myungsoo Seo, Sangjung Kang, Juyoun Kim, Seulgi Yun, Seki Hong
  • Patent number: 11888074
    Abstract: A flash memory device and method of making the same are disclosed. The flash memory device is located on a substrate and includes a floating gate electrode, a tunnel dielectric layer located between the substrate and the floating gate electrode, a smaller length control gate electrode and a control gate dielectric layer located between the floating gate electrode and the smaller length control gate electrode. The length of a major axis of the smaller length control gate electrode is less than a length of a major axis of the floating gate electrode.
    Type: Grant
    Filed: July 19, 2022
    Date of Patent: January 30, 2024
    Assignee: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Yu-Chu Lin, Chi-Chung Jen, Yi-Ling Liu, Wen-Chih Chiang, Keng-Ying Liao, Huai-Jen Tung
  • Patent number: 11881409
    Abstract: A method of cutting fins includes the following steps. A photomask including a snake-shape pattern is provided. A photoresist layer is formed over fins on a substrate. A photoresist pattern in the photoresist layer corresponding to the snake-shape pattern is formed by exposing and developing. The fins are cut by transferring the photoresist pattern and etching cut parts of the fins.
    Type: Grant
    Filed: June 28, 2021
    Date of Patent: January 23, 2024
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Wei-Hao Huang, Chun-Lung Chen, Kun-Yuan Liao, Lung-En Kuo, Chia-Wei Hsu
  • Patent number: 11874605
    Abstract: Metrology target design methods and verification targets are provided. Methods comprise using OCD data related to designed metrology target(s) as an estimation of a discrepancy between a target model and a corresponding actual target on a wafer, and adjusting a metrology target design model to compensate for the estimated discrepancy. The dedicated verification targets may comprise overlay target features and be size optimized to be measureable by an OCD sensor, to enable compensation for inaccuracies resulting from production process variation. Methods also comprise modifications to workflows between manufacturers and metrology vendors which provide enable higher fidelity metrology target design models and ultimately higher accuracy of metrology measurements.
    Type: Grant
    Filed: July 6, 2020
    Date of Patent: January 16, 2024
    Assignee: KLA Corporation
    Inventors: Michael E. Adel, Inna Tarshish-Shapir, Shiming Wei, Mark Ghinovker
  • Patent number: 11876043
    Abstract: A semiconductor device includes a substrate having a chip region and a scribe lane region having first edges extending in a first direction and second edges extending in a second direction, a first insulating interlayer structure on the scribe lane region and including a low-k dielectric material, first conductive structures on a portion of the scribe lane region adjacent one of the first edges and each extending through the first insulating interlayer structure in a vertical direction and extending in the first direction, a second insulating interlayer on the first insulating interlayer structure and including a material having a dielectric constant greater than that of the first insulating interlayer structure, first vias each extending in the first direction through the second insulating interlayer to contact one of the first conductive structures, and a first wiring commonly contacting upper surfaces of the first vias.
    Type: Grant
    Filed: May 4, 2020
    Date of Patent: January 16, 2024
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Jooncheol Kim, Sangwoo Hong
  • Patent number: 11862461
    Abstract: A semiconductor structure and a method for forming a semiconductor structure are provided. The method includes: a base is provided, in which the base includes a first doped area and a second doped area, and an isolation structure is provided between the first doped area and the second doped area; nitridation treatment is performed on the first doped area and the second doped area; and oxidation treatment is performed on the first doped area and the second doped area subjected to the nitridation treatment, to form a first gate oxide layer and a second gate oxide layer respectively.
    Type: Grant
    Filed: June 28, 2022
    Date of Patent: January 2, 2024
    Assignee: CHANGXIN MEMORY TECHNOLOGIES, INC.
    Inventor: Tzung-Han Lee
  • Patent number: 11862508
    Abstract: A semiconductor device a method of forming the same are provided. The semiconductor device includes a substrate, a first isolation structure and a second isolation structure over the substrate, a semiconductor fin over the substrate and between the first isolation structure and the second isolation structure, and a third isolation structure extending through the semiconductor fin and between the first isolation structure and the second isolation structure. A top surface of the semiconductor fin is above a top surface of the first isolation structure and a top surface of the second isolation structure. The third isolation structure includes a first dielectric material and a second dielectric material over the first dielectric material. An interface between the first dielectric material and the second dielectric material is below the top surface of the first isolation structure and the top surface of the second isolation structure.
    Type: Grant
    Filed: January 13, 2021
    Date of Patent: January 2, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Bo-Cyuan Lu, Tai-Chun Huang, Chih-Tang Peng, Chi On Chui
  • Patent number: 11854798
    Abstract: A method of forming a semiconductor device includes forming a mask layer over a substrate and forming an opening in the mask layer. A gap-filling material is deposited in the opening. A plasma treatment is performed on the gap-filling material. The height of the gap-filling material is reduced. The mask layer is removed. The substrate is patterned using the gap-filling material as a mask.
    Type: Grant
    Filed: July 27, 2022
    Date of Patent: December 26, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Ching-Yu Chang, Jei Ming Chen, Tze-Liang Lee
  • Patent number: 11848339
    Abstract: A semiconductor structure includes a semiconductor substrate, an image sensor, and an isolation structure. The isolation structure is adjacent to the image sensor and disposed in the semiconductor substrate. The isolation structure includes a first oxide layer, a second oxide layer over the first oxide layer, and a charge-trapping layer disposed between the first oxide layer and the second oxide layer. The charge-trapping layer includes a material different from those of the first oxide layer and the second oxide layer.
    Type: Grant
    Filed: March 19, 2021
    Date of Patent: December 19, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Tzung-Yi Tsai, Kuo-Yu Wu, Tse-Hua Lu
  • Patent number: 11842933
    Abstract: In an embodiment, a device includes: a first semiconductor strip over a substrate, the first semiconductor strip including a first channel region; a second semiconductor strip over the substrate, the second semiconductor strip including a second channel region; a dielectric strip disposed between the first semiconductor strip and the second semiconductor strip, a width of the dielectric strip decreasing along a first direction extending away from the substrate, the dielectric strip including a void; and a gate structure extending along the first channel region, along the second channel region, and along a top surface and sidewalls of the dielectric strip.
    Type: Grant
    Filed: January 15, 2021
    Date of Patent: December 12, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Tsai-Yu Huang, Han-De Chen, Huicheng Chang, Yee-Chia Yeo