Filling Of Holes, Grooves, Vias Or Trenches With Conductive Material (epo) Patents (Class 257/E21.585)
  • Patent number: 11972952
    Abstract: Methods and apparatuses are described that provide tungsten deposition with low roughness. In some embodiments, the methods involve co-flowing nitrogen with hydrogen during an atomic layer deposition process of depositing tungsten that uses hydrogen as a reducing agent. In some embodiments, the methods involve depositing a cap layer, such as tungsten oxide or amorphous tungsten layer, on a sidewall surface of a 3D NAND structure. The disclosed embodiments have a wide variety of applications including depositing tungsten into 3D NAND structures.
    Type: Grant
    Filed: December 13, 2019
    Date of Patent: April 30, 2024
    Assignee: Lam Research Corporation
    Inventors: Ruopeng Deng, Xiaolan Ba, Tianhua Yu, Yu Pan, Juwen Gao
  • Patent number: 11967550
    Abstract: A semiconductor structure and method of forming the same are provided. The semiconductor structure has a conductive structure. The semiconductor structure includes a first conductive line, a second conductive line, a third conductive line and a conductive via. The first conductive line and the second conductive line are located in a first dielectric layer and extend along a first direction. The first conductive line and the second conductive line are spaced from each other by the first dielectric layer therebetween. The third conductive line is located in a second dielectric layer and extends along a second direction. The conductive via is vertically between the first conductive line and the third conductive line, and between the second conductive line and the third conductive line. The conductive via, in a vertical direction, is overlapped with a portion of the first dielectric layer that is laterally between the first conductive line and the second conductive line.
    Type: Grant
    Filed: May 22, 2020
    Date of Patent: April 23, 2024
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shu-Wei Chung, Yen-Sen Wang
  • Patent number: 11967525
    Abstract: Embodiments of the disclosure relate to methods of depositing tungsten. Some embodiments of the disclosure provide methods for depositing tungsten which are performed at relatively low temperatures. Some embodiments of the disclosure provide methods in which the ratio between reactant gasses is controlled. Some embodiments of the disclosure provide selective deposition of tungsten. Some embodiments of the disclosure provide methods for depositing tungsten films at a low temperature with relatively low roughness, stress and impurity levels.
    Type: Grant
    Filed: August 1, 2022
    Date of Patent: April 23, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Yi Xu, Yufei Hu, Yu Lei, Kazuya Daito, Da He, Jiajie Cen
  • Patent number: 11963346
    Abstract: The present application provides a semiconductor structure and a preparation method thereof, including: a substrate; a trench; a bit line contact structure; a bit line structure; a bit line protection structure, the bit line protection structure including a top dielectric layer and a sidewall structure, the top dielectric layer is located on the bit line structure and forms a laminated structure together with the bit line structure; the sidewall structure covers part of sidewalls of the laminated structure on the substrate, the sidewall structure has a first air gap; an isolation pattern structure, the isolation pattern structure has a second air gap, the isolation pattern structure extends along a second direction, the second direction intersects with the first direction, to form capacitance contact hole between the adjacent bit line protection structures and the adjacent isolation pattern structures.
    Type: Grant
    Filed: January 13, 2022
    Date of Patent: April 16, 2024
    Assignee: CHANGXIN MEMORY TECHNOLOGIES, INC.
    Inventors: Yexiao Yu, Junyi Zhang
  • Patent number: 11955371
    Abstract: A method for preparing a semiconductor device includes: providing a semiconductor substrate, in which a trench is formed on the semiconductor substrate, a filling layer is formed in the trench, and a void is formed in the filling layer; removing a portion of the filling layer to expose the void; forming a plug, in which the plug is configured to plug the void and extends into the void by at least a preset distance; and removing a portion of the filling layer and remaining the plug with at least a preset height until the filling layer reaches a preset thickness to form a contact hole.
    Type: Grant
    Filed: August 8, 2021
    Date of Patent: April 9, 2024
    Assignee: CHANGXIN MEMORY TECHNOLOGIES, INC.
    Inventors: Jingwen Lu, Hai-Han Hung, Meng-Cheng Chen
  • Patent number: 11931923
    Abstract: A method of manufacturing a template, has: preparing a substrate containing quartz and having a surface, the surface including a protrusion and a depression; and processing the depression. The processing of the depression includes: a first step of forming a film on the surface, the film including a first region and a second region, the first region being provided on the protrusion, and the second region being provided on a bottom of the depression and being thinner than the first region; a second step of removing the second region with the first region partly remaining to expose the bottom of the depression; and a third step of processing the exposed part of the depression using a mask made of the remainder of the first region.
    Type: Grant
    Filed: December 9, 2020
    Date of Patent: March 19, 2024
    Assignee: Kioxia Corporation
    Inventors: Takeharu Motokawa, Hideaki Sakurai, Noriko Sakurai, Ryu Komatsu
  • Patent number: 11929280
    Abstract: A contact window structure and a method for forming the contact window structure are provided. The method includes: an etching spacer is formed on a surface of a target layer, and a dielectric layer covering a substrate, the target layer and the etching spacer is formed; the dielectric layer is etched to form an etching hole in the dielectric layer, a bottom of the etching hole exposing a top surface of the etching spacer; and the etching spacer is removed along the etching hole to form an etching channel communicating with the etching hole, the etching channel exposing a portion of the surface of the target layer and constituting a contact window structure with the etching hole.
    Type: Grant
    Filed: August 9, 2021
    Date of Patent: March 12, 2024
    Assignee: CHANGXIN MEMORY TECHNOLOGIES, INC.
    Inventor: Ping-Heng Wu
  • Patent number: 11929327
    Abstract: The present disclosure describes a method for forming liner-free or barrier-free conductive structures. The method includes depositing an etch stop layer on a cobalt contact disposed on a substrate, depositing a dielectric on the etch stop layer, etching the dielectric and the etch stop layer to form an opening that exposes a top surface of the cobalt contact, and etching the exposed top surface of the cobalt contact to form a recess in the cobalt contact extending laterally under the etch stop layer. The method further includes depositing a ruthenium metal to substantially fill the recess and the opening, and annealing the ruthenium metal to form an oxide layer between the ruthenium metal and the dielectric.
    Type: Grant
    Filed: July 22, 2020
    Date of Patent: March 12, 2024
    Assignee: Taiwan Semiconductor Manufacturing Co., Inc.
    Inventors: Hsu-Kai Chang, Keng-Chu Lin, Sung-Li Wang, Shuen-Shin Liang, Chia-Hung Chu
  • Patent number: 11913132
    Abstract: A method for manufacturing a package includes generating an electric field between an anode and a cathode in an electroplating solution to electroplate a substrate electrically connected to the cathode; depositing metal on a central region of the substrate with a first deposition rate; depositing metal on an outer region of the substrate with a second deposition rate lower than the first deposition rate; and reducing the first deposition rate.
    Type: Grant
    Filed: May 18, 2022
    Date of Patent: February 27, 2024
    Assignee: ADVANCED SEMICONDUCTOR ENGINEERING, INC.
    Inventors: Chia Chun Hsu, Chin-Feng Wang
  • Patent number: 11916024
    Abstract: A method of forming a semiconductor device comprising forming a patterned resist over a stack comprising at least one material and removing a portion of the stack exposed through the patterned resist to form a stack opening. A portion of the patterned resist is laterally removed to form a trimmed resist and an additional portion of the stack exposed through the trimmed resist is removed to form steps in sidewalls of the stack. A dielectric material is formed between the sidewalls of the stack to substantially completely fill the stack opening, and the dielectric material is planarized. Additional methods are disclosed, as well as semiconductor devices.
    Type: Grant
    Filed: September 14, 2021
    Date of Patent: February 27, 2024
    Assignee: Micron Technology, Inc.
    Inventors: Rohit Kothari, Adam L Olson, John D. Hopkins, Jeslin J. Wu
  • Patent number: 11917768
    Abstract: A multi-layer circuit board, successively constituted by surface sticking layer, single-layer circuit board, middle sticking layer, single-layer circuit board, surface sticking layer, said multi-layer circuit board is provided with a hole, a hole wall of said hole is formed with conductive seed layer, and partial outer surface of said surface sticking layer is formed with a circuit pattern layer of conductive seed layer, wherein said conductive seed layer comprises a ion implantation layer implanting below the hole wall of said hole and below partial outer surface of said surface sticking layer.
    Type: Grant
    Filed: January 25, 2022
    Date of Patent: February 27, 2024
    Assignee: RICHVIEW ELECTRONICS CO., LTD.
    Inventors: Siping Bai, Xianglan Wu, Zhijian Wang, Zhigang Yang, Jinqiang Zhang
  • Patent number: 11908891
    Abstract: The present disclosure relates to a method of forming an integrated chip. The method includes forming a plurality of isolation structures within a substrate. The substrate is selectively etched to form a gate base recess within the substrate. The plurality of isolation structures are selectively etched to form a plurality of gate extension trenches extending outward from the gate base recess; forming a conductive material within the gate base recess and the plurality of gate extension trenches to form a gate electrode; and forming a source region and a drain region on opposing sides of the gate electrode.
    Type: Grant
    Filed: May 2, 2022
    Date of Patent: February 20, 2024
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jhih-Bin Chen, Ming Chyi Liu
  • Patent number: 11908800
    Abstract: A semiconductor structure includes a multi-level interconnect structure, a passivation layer, a barrier layer, and a pad layer. The passivation layer is above the multi-level interconnect structure. The barrier layer lines an inner sidewall of the passivation layer, a top surface of the passivation layer and a top surface of a conductive line of the multi-level interconnect structure. The barrier layer includes a first layer, a second layer, a third layer, and a fourth layer. The first layer is in a nano-crystalline phase. The second layer is above the first layer and in an amorphous phase. The third layer is above the second layer and in a polycrystalline phase. The fourth layer is above the third layer and in a nano-crystalline phase. The pad layer is above the barrier layer.
    Type: Grant
    Filed: July 22, 2022
    Date of Patent: February 20, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Ya-Chin Chiu, Ming-Hsien Lin, Chia-Tung Hsu, Lun-Chieh Chiu
  • Patent number: 11887888
    Abstract: Methods of forming metal interconnections of an integrated circuit include electroplating two or more metal layers over a metal seed layer, rinsing each of the metal layers with deionized water after the electroplating, and drying each of the metal layers after the rinsing. After forming a last metal layer, the two or more metal layers are annealed thereby forming a final metal layer, resulting in a low defect density of the final metal layer.
    Type: Grant
    Filed: June 28, 2021
    Date of Patent: January 30, 2024
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Peter John Holverson, Sudtida Lavangkul
  • Patent number: 11875987
    Abstract: A method of increasing the surface area of a contact to an electrical device that in one embodiment includes forming a contact stud extending through an intralevel dielectric layer to a component of the electrical device, and selectively forming a contact region on the contact stud. The selectively formed contact region has an exterior surface defined by a curvature and has a surface area that is greater than a surface area of the contact stud. An interlevel dielectric layer is formed on the intralevel dielectric layer, wherein an interlevel contact extends through the interlevel dielectric layer into direct contact with the selectively formed contact region.
    Type: Grant
    Filed: May 20, 2021
    Date of Patent: January 16, 2024
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Lawrence A. Clevenger, Baozhen Li, Kirk D. Peterson, Terry A. Spooner, Junli Wang
  • Patent number: 11877440
    Abstract: The disclosure relates to a buried bit line and a forming method thereof, the buried bit line is formed in a bit line slot of a substrate, the buried bit line includes a first bit line layer formed in the bit line slot, a first blocking layer and a second bit line layer. A top of the first bit line layer is lower than a surface of the substrate. The first blocking layer is at least partially formed between the first bit line layer and an inner wall of the bit line slot. The second bit line layer is formed in the bit line slot and configured to communicate the first bit line layer with a drain region in the substrate.
    Type: Grant
    Filed: August 30, 2021
    Date of Patent: January 16, 2024
    Assignee: CHANGXIN MEMORY TECHNOLOGIES, INC.
    Inventors: Gongyi Wu, Yong Lu, Penghui Xu
  • Patent number: 11877433
    Abstract: The present invention provides a storage node contact structure of a memory device comprising a substrate having a dielectric layer comprising a recess, a first tungsten metal layer, and an adhesive layer on the first tungsten metal layer and a second tungsten metal layer on the adhesive layer, wherein the second tungsten metal layer is formed by a physical vapor deposition (PVD).
    Type: Grant
    Filed: July 16, 2020
    Date of Patent: January 16, 2024
    Assignees: UNITED MICROELECTRONICS CORP., Fujian Jinhua Integrated Circuit Co., Ltd.
    Inventors: Pin-Hong Chen, Tsun-Min Cheng, Chih-Chieh Tsai, Tzu-Chieh Chen, Kai-Jiun Chang, Chia-Chen Wu, Yi-An Huang, Yi-Wei Chen, Hsin-Fu Huang, Chi-Mao Hsu, Li-Wei Feng, Ying-Chiao Wang, Chung-Yen Feng
  • Patent number: 11876040
    Abstract: In one example, an electronic device, comprises a substrate, comprising a first dielectric having a top surface and a bottom surface, and a first conductor in the first dielectric and comprising a first via and a first trace over the first via. The first trace comprises a first trace sidewall and a first trace base, and the first via comprises a first via sidewall. The first conductor comprises a first arcuate vertex between the first trace sidewall and the first trace base, and a second arcuate vertex between the first via sidewall and the first trace base, an electronic component over the top surface of the substrate, and an encapsulant over the top surface of the substrate and contacting a lateral side of the electronic component. Other examples and related methods are also disclosed herein.
    Type: Grant
    Filed: May 25, 2021
    Date of Patent: January 16, 2024
    Assignee: Amkor Technology Singapore Holding Pte. Ltd.
    Inventors: Sang Hyun Jin, Young Jin Kang, Jin Suk Jeong, Yun Kyung Jeong
  • Patent number: 11873570
    Abstract: According to a first aspect of the invention, a method for producing a metal-CNT composite material is proposed. The method includes providing a layer of CNT by depositing CNT coated with a polyphenol or poly(catecholamine) coating and filling the interstices of the carbon nanotubes layer with a metal so as to form a metal matrix, in which CNT are embedded. The filling is effected by electrode position or by electroless deposition. The polyphenol or poly(catecholamine) coating is crosslinked by metal ions, the metal ions promoting, as metal seeds, adhesion and/or growth of the metal matrix during the filling step. A further aspect of the invention relates to the metal-CNT composite obtainable by the method.
    Type: Grant
    Filed: August 22, 2019
    Date of Patent: January 16, 2024
    Assignee: LUXEMBOURG INSTITUTE OF SCIENCE AND TECHNOLOGY (LIST)
    Inventors: Antoine Duhain, Marc Michel, Guillaume Lamblin, Damien Lenoble
  • Patent number: 11875913
    Abstract: The present invention relates to an electrical conductor (1) having an electrically conductive material (2) comprising graphene and/or carbon nanotubes and a joint (3, 4), wherein a metal coating (6) is provided on the electrically conductive material (2) of the electrical conductor (1) at the joint (3, 4) for integrally joining the electrical conductor (1) to a metal conductor element, the metal coating (6) being in direct contact with the electrically conductive material (2), characterized in that the metal coating (6) of the joint (3, 4) comprises a metal that forms carbides in a boundary layer of the coating (6) by reaction of the metal of the coating (6) with the carbon of the electrically conductive material (2).
    Type: Grant
    Filed: October 19, 2020
    Date of Patent: January 16, 2024
    Assignee: Robert Bosch GmbH
    Inventors: Martin Koehne, Felix Stewing, Raimund Bohl
  • Patent number: 11862559
    Abstract: A semiconductor structure includes a semiconductor substrate, a metallization feature over the semiconductor substrate, a first dielectric feature, a second dielectric feature, and a via contact. The metallization feature includes a first bottom corner and a second bottom corner opposite to the first bottom corner. The first dielectric feature is adjacent to the first bottom corner, and the second dielectric feature is adjacent to the second bottom corner. The metallization feature is interposed between the first dielectric feature and the second dielectric feature. In some embodiments, an included angle of the first bottom corner defined by a sidewall of first dielectric feature and a bottom surface of the metallization feature is less than 90°. The via contact is configured to connect the metallization feature to the semiconductor substrate.
    Type: Grant
    Filed: June 3, 2021
    Date of Patent: January 2, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Lin-Yu Huang, Li-Zhen Yu, Cheng-Chi Chuang, Kuan-Lun Cheng, Chih-Hao Wang
  • Patent number: 11862756
    Abstract: Systems and methods for improved light emitting efficiency of a solid state transducer (SST), for example light emitting diodes (LED), are disclosed. One embodiment of an SST die in accordance with the technology includes a reflective material disposed over electrical connectors on a front side of the die. The reflective material has a higher reflectivity than a base material of the connectors such that light traveling toward the connectors reflects back out of the device.
    Type: Grant
    Filed: November 4, 2019
    Date of Patent: January 2, 2024
    Assignee: Micron Technology, Inc.
    Inventors: Martin F. Schubert, Vladimir Odnoblyudov
  • Patent number: 11855182
    Abstract: Embodiments of the present disclosure relate to a FinFET device having gate spacers with reduced capacitance and methods for forming the FinFET device. Particularly, the FinFET device according to the present disclosure includes gate spacers formed by two or more depositions. The gate spacers are formed by depositing first and second materials at different times of processing to reduce parasitic capacitance between gate structures and contacts introduced after epitaxy growth of source/drain regions.
    Type: Grant
    Filed: November 5, 2020
    Date of Patent: December 26, 2023
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Wen-Kai Lin, Bo-Yu Lai, Li Chun Te, Kai-Hsuan Lee, Sai-Hooi Yeong, Tien-I Bao, Wei-Ken Lin
  • Patent number: 11840759
    Abstract: A method includes: forming a titanium nitride base film containing silicon by alternately repeating: precipitation of titanium nitride by alternately and repeatedly supplying a titanium-containing gas, and supplying a nitriding gas to a substrate on which a recess is formed; and precipitation of silicon nitride by alternately and repeatedly supplying a silicon-containing gas, and supplying a nitriding gas to the substrate; and subsequently, forming a tungsten film so as to bury tungsten in the recess in which the titanium nitride base film is formed, by alternately and repeatedly supplying a raw material gas containing a tungsten raw material and a reaction gas reacting with the raw material gas, to the substrate. A supply flow rate of the silicon-containing gas is adjusted so that a content of the silicon in the titanium nitride base film is high on an opening side rather than on an inner side of the recess.
    Type: Grant
    Filed: March 7, 2022
    Date of Patent: December 12, 2023
    Assignee: Tokyo Electron Limited
    Inventors: Masafumi Takahashi, Kenji Suzuki, Tsuyoshi Takahashi, Masaki Sano
  • Patent number: 11837645
    Abstract: A semiconductor device including a substrate; a fin active region on the substrate and extending in a first direction; a gate structure extending across the fin active region and extending in a second direction; a source/drain region in the fin active region on a side of the gate structure; an insulating structure covering the gate structure and the source/drain region; and contact structures penetrating through the insulating structure and respectively connected to the source/drain region and the gate structure, wherein one of the contact structures includes a seed layer on the gate structure or the source/drain regions and including lower and upper regions, the lower region having a first grain size and the upper region being amorphous or having a grain size different from the first grain size, and a contact plug on an upper region of the seed layer and having a second grain size.
    Type: Grant
    Filed: December 21, 2022
    Date of Patent: December 5, 2023
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Yoontae Hwang, Wandon Kim, Geunwoo Kim, Heonbok Lee, Taegon Kim, Hanki Lee
  • Patent number: 11837615
    Abstract: An image sensor may include a substrate having first and second surfaces opposite to each other and including unit pixel regions and impurity regions near the first surface, a device isolation pattern provided on the first surface to define the impurity regions, and an interconnection layer including an insulating layer covering the first surface of the substrate, interconnection lines on the insulating layer, and a penetration structure penetrating the insulating layer. The penetration structure may include a first pattern connected to one of the impurity regions and in contact with at least a portion of the device isolation pattern, a second pattern provided on the first pattern and in contact with the interconnection lines, and a third pattern provided between the first and second patterns. A top surface of the first pattern may be higher than that of the device isolation pattern.
    Type: Grant
    Filed: May 7, 2021
    Date of Patent: December 5, 2023
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Taeyoung Song, Sung In Kim, Kwansik Cho
  • Patent number: 11837500
    Abstract: A method of forming an integrated circuit structure includes forming an etch stop layer over a conductive feature, forming a dielectric layer over the etch stop layer, forming an opening in the dielectric layer to reveal the etch stop layer, and etching the etch stop layer through the opening using an etchant comprising an inhibitor. An inhibitor film comprising the inhibitor is formed on the conductive feature. The method further includes depositing a conductive barrier layer extending into the opening, performing a treatment to remove the inhibitor film after the conductive barrier layer is deposited, and depositing a conductive material to fill a remaining portion of the opening.
    Type: Grant
    Filed: June 30, 2022
    Date of Patent: December 5, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chia-Pang Kuo, Ya-Lien Lee, Chieh-Yi Shen
  • Patent number: 11830808
    Abstract: The present disclosure provides a method for forming an integrated circuit (IC) structure. The method comprises providing a substrate including a conductive feature; forming aluminum (Al)-containing dielectric layer on the conductive feature; forming a low-k dielectric layer on the Al-containing dielectric layer; and etching the low-k dielectric layer to form a contact trench aligned with the conductive feature. A bottom of the contact trench is on a surface of the Al-containing dielectric layer.
    Type: Grant
    Filed: May 9, 2022
    Date of Patent: November 28, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Hsin-Yen Huang, Kai-Fang Cheng, Chi-Lin Teng, Hai-Ching Chen, Tien-I Bao
  • Patent number: 11823998
    Abstract: Embodiments of the present invention are directed to fabrication methods and resulting interconnect structures having a conductive thin metal layer on a top via that promotes the selective growth of the next level interconnect lines (the line above). In a non-limiting embodiment of the invention, a first conductive line is formed in a dielectric layer. A via is formed on the first conductive line and a seed layer is formed on the via and the dielectric layer. A surface of the seed layer is exposed and a second conductive line is deposited onto the exposed surface of the seed layer. In a non-limiting embodiment of the invention, the second conductive line is selectively grown from the seed layer.
    Type: Grant
    Filed: September 15, 2021
    Date of Patent: November 21, 2023
    Assignee: International Business Machines Corporation
    Inventors: Brent Anderson, Lawrence A. Clevenger, Christopher J. Penny, Nicholas Anthony Lanzillo, Kisik Choi, Robert Robison
  • Patent number: 11804438
    Abstract: A semiconductor device includes a substrate having an active region, a gate structure disposed on the active region, a source/drain region disposed in the active region at a side of the gate structure, a first interlayer insulating layer and a second interlayer insulating layer sequentially disposed on the gate structure and the source/drain region, a first contact plug connected to the source/drain region through the first interlayer insulating layer, a second contact plug connected to the gate structure through the first interlayer insulating layer and the second interlayer insulating layer, a first metal line disposed on the second interlayer insulating layer, and having a metal via disposed in the second interlayer insulating layer and connected to the first contact plug, and a second metal line disposed on the second interlayer insulating layer, and directly connected to the second contact plug.
    Type: Grant
    Filed: March 11, 2022
    Date of Patent: October 31, 2023
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Yong Kong Siew, Wei Hsiung Tseng, Changhwa Kim
  • Patent number: 11798843
    Abstract: Generally, the present disclosure provides example embodiments relating to conductive features, such as metal contacts, vias, lines, etc., and methods for forming those conductive features. In an embodiment, a barrier layer is formed along a sidewall. A portion of the barrier layer along the sidewall is etched back. After etching back the portion of the barrier layer, an upper portion of the barrier layer along the sidewall is smoothed. A conductive material is formed along the barrier layer and over the smoothed upper portion of the barrier layer.
    Type: Grant
    Filed: April 5, 2021
    Date of Patent: October 24, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Yu Shih Wang, Chun-I Tsai, Shian Wei Mao, Ken-Yu Chang, Ming-Hsing Tsai, Wei-Jung Lin
  • Patent number: 11798965
    Abstract: Provided is a solid-state imaging device capable of reducing bonding defects when two substrates are bonded to each other, and a method for manufacturing the solid-state imaging device. The solid-state imaging device includes a first substrate including a first electrode formed with a metal, and a second substrate that is a substrate bonded to the first substrate, the second substrate including a second electrode formed with a metal, the second electrode being bonded to the first electrode. In at least one of the first substrate or the second substrate, a diffusion preventing layer of the metal is formed for a layer formed with the metal filling a hole portion, the metal forming the electrodes.
    Type: Grant
    Filed: December 6, 2018
    Date of Patent: October 24, 2023
    Assignee: SONY SEMICONDUCTOR SOLUTIONS CORPORATION
    Inventor: Masanaga Fukasawa
  • Patent number: 11798910
    Abstract: The present disclosure relates to a semiconductor structure including an interconnect structure disposed over a semiconductor substrate. A lower metal line is disposed at a first height over the semiconductor substrate and extends through a first interlayer dielectric layer. A second interlayer dielectric layer is disposed at a second height over the semiconductor substrate and comprises a first dielectric material. An upper metal line is disposed at a third height over the semiconductor substrate. A via is disposed at the second height. The via extends between the lower metal line and the upper metal line. A protective dielectric structure is disposed at the second height. The protective dielectric structure comprises a protective dielectric material and is disposed along a first set of opposing sidewalls of the via, the protective dielectric material differing from the first dielectric material.
    Type: Grant
    Filed: July 20, 2022
    Date of Patent: October 24, 2023
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsin-Chieh Yao, Chung-Ju Lee, Chih Wei Lu, Hsi-Wen Tien, Yu-Teng Dai, Wei-Hao Liao
  • Patent number: 11792978
    Abstract: A semiconductor storage device according to one embodiment includes a stacked body, a pillar, a contact, and a region. In the stacked body, a plurality of electrically conductive layers and a plurality of insulating layers are stacked alternately one on another. The stacked body includes a stair portion in which end portions of the plurality of electrically conductive layers are stair-shaped. The contact is arranged in the stair portion, and connected at a side surface thereof to an nth (where n is an integer of 2 or larger) electrically conductive layer from the lowermost electrically conductive layer. The region is buried within an (n?1)th electrically conductive layer from the lowermost electrically conductive layer. The region includes an electrically conductive member located below the contact, and an insulating member surrounding the electrically conductive member, so that the region is electrically isolated from the (n?1)th electrically conductive layer that surrounds the region.
    Type: Grant
    Filed: December 11, 2020
    Date of Patent: October 17, 2023
    Assignee: Kioxia Corporation
    Inventor: Koichi Yamamoto
  • Patent number: 11777036
    Abstract: Some embodiments include an integrated assembly having an upwardly-extending structure with a sidewall surface. Two-dimensional-material extends along the sidewall surface. First electrostatic-doping-material is adjacent a lower region of the two-dimensional-material, insulative material is adjacent a central region of the two-dimensional-material, and second electrostatic-doping-material is adjacent an upper region of the two-dimensional-material. A conductive-gate-structure is over the first electrostatic-doping-material and adjacent to the insulative material. Some embodiments include methods of forming integrated assemblies.
    Type: Grant
    Filed: August 27, 2020
    Date of Patent: October 3, 2023
    Assignee: Micron Technology, Inc.
    Inventors: David K. Hwang, Richard J. Hill, Gurtej S. Sandhu
  • Patent number: 11777631
    Abstract: An in-packaged multi-channel light engine is packaged for four or more sub-assemblies of optical-electrical sub-modules. Each is assembled with at least four laser chips, one or more driver chip, and one or more trans-impedance amplifier (TIA) chip separately flip-mounted on a silicon photonics interposer and is coupled to an optical interface block and an electrical interface block on a sub-module substrate. The in-packaged multi-channel light engine further includes a first frame fixture holding the four or more sub-assemblies and a second frame fixture configured to hold the first frame fixture with the four or more sub-assemblies. The in-packaged multi-channel light engine further includes an interposer plate inserted between the sub-module substrates and a module substrate and is compressed between a backplate member attached to a bottom side of the module substrate and a top plate member configured as a heatsink with a plurality of fin structures.
    Type: Grant
    Filed: December 28, 2021
    Date of Patent: October 3, 2023
    Assignee: MARVELL ASIA PTE LTD.
    Inventors: Radhakrishnan L. Nagarajan, Liang Ding, Mark Patterson, Roberto Coccioli
  • Patent number: 11769546
    Abstract: A nonvolatile memory device includes a first lower interlayer insulation layer and a second lower interlayer insulation layer that are sequentially stacked in a first direction; a lower metal layer disposed in the first lower interlayer insulation layer; and a plurality of lower bonding metals disposed in the first lower interlayer insulation layer and the second lower interlayer insulation layer and spaced apart from each other in a second direction that intersects the first direction. An uppermost surface in the first direction of the lower metal layer is lower than an uppermost surface in the first direction of the plurality of lower bonding metals, and the lower metal layer is placed between the plurality of lower bonding metals.
    Type: Grant
    Filed: September 2, 2021
    Date of Patent: September 26, 2023
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Kohji Kanamori, Sang Youn Jo, Jee Hoon Han
  • Patent number: 11756880
    Abstract: Representative techniques and devices, including process steps may be employed to mitigate undesired dishing in conductive interconnect structures and erosion of dielectric bonding surfaces. For example, an embedded layer may be added to the dished or eroded surface to eliminate unwanted dishing or voids and to form a planar bonding surface. Additional techniques and devices, including process steps may be employed to form desired openings in conductive interconnect structures, where the openings can have a predetermined or desired volume relative to the volume of conductive material of the interconnect structures. Each of these techniques, devices, and processes can provide for the use of larger diameter, larger volume, or mixed-sized conductive interconnect structures at the bonding surface of bonded dies and wafers.
    Type: Grant
    Filed: September 27, 2021
    Date of Patent: September 12, 2023
    Assignee: ADEIA SEMICONDUCTOR BONDING TECHNOLOGIES INC.
    Inventors: Cyprian Emeka Uzoh, Gaius Gillman Fountain, Jr., Jeremy Alfred Theil
  • Patent number: 11749564
    Abstract: Embodiments herein include void-free material depositions on a substrate (e.g., in a void-free trench-filled (VFTF) component) obtained using directional etching to remove predetermined portions of a seed layer covering the substrate. In several embodiments, directional etching followed by selective deposition can enable fill material (e.g., metal) patterning in tight spaces without any voids or seams. Void-free material depositions may be used in a variety of semiconductor devices, such as transistors, dual work function stacks, dynamic random-access memory (DRAM), non-volatile memory, and the like.
    Type: Grant
    Filed: September 22, 2020
    Date of Patent: September 5, 2023
    Assignee: Applied Materials, Inc.
    Inventors: M. Arif Zeeshan, Kelvin Chan, Shantanu Kallakuri, Sony Varghese, John Hautala
  • Patent number: 11749508
    Abstract: A method of processing a substrate with plasma includes: coating surfaces of components inside a chamber with a film having conductive properties by turning a first gas containing carbon and hydrogen into plasma inside the chamber; loading the substrate into the chamber; and processing the substrate by turning a second gas into plasma inside the chamber in a state where the surfaces of the components inside the chamber are coated with the film having conductive properties.
    Type: Grant
    Filed: February 23, 2021
    Date of Patent: September 5, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Michiko Nakaya, Yuya Minoura, Taku Gohira
  • Patent number: 11742406
    Abstract: A semiconductor device and a fabrication method of the semiconductor device are provided. The semiconductor device includes a substrate, and a dielectric layer disposed over the substrate. The dielectric layer contains a contact hole, and a bottom of the contact hole exposes a surface of the substrate. The semiconductor device also includes a metal silicide layer disposed on the surface of the substrate exposed by the bottom of the contact hole. Further, the semiconductor device includes a barrier layer disposed on a surface of the metal silicide layer, and a plug layer disposed over the barrier layer and fully filling the contact hole.
    Type: Grant
    Filed: August 25, 2021
    Date of Patent: August 29, 2023
    Assignees: Semiconductor Manufacturing International (Shanghai) Corporation, Semiconductor Manufacturing International (Beijing) Corporation
    Inventor: Tiantian Zhang
  • Patent number: 11742467
    Abstract: A preparation method of a backplane includes: forming an insulating structure layer having a groove on a base substrate by a mask exposure process, the groove being used for accommodating a metal trace; and repeating a metal sub-layer forming step including an ashing process and a wet etching process multiple times to form the metal trace positioned in the groove.
    Type: Grant
    Filed: July 2, 2020
    Date of Patent: August 29, 2023
    Assignee: BOE Technology Group Co., Ltd.
    Inventors: Zhiwei Liang, Wenqian Luo, Yingwei Liu, Ke Wang, Shengguang Ban, Zhanfeng Cao
  • Patent number: 11742355
    Abstract: A semiconductor structure is provided. The semiconductor structure including: a substrate, where the substrate includes a first region and a second region adjacent to the first region; a plurality of fins formed over the first region of the substrate; an isolation layer over the substrate between adjacent fins of the plurality of fins, where a top of the isolation layer is lower than a top surface of a fin of the plurality of fins, the isolation layer over the second region and the second region of the substrate together contain a power rail opening, and the substrate contains a through-hole at a bottom of the power rail opening; and a first metal layer in the power rail opening and the through-hole, where a back surface of the first metal layer is above a back surface of the substrate.
    Type: Grant
    Filed: June 23, 2022
    Date of Patent: August 29, 2023
    Assignees: Semiconductor Manufacturing International (Shanghai) Corporation, Semiconductor Manufacturing International (Beijing) Corporation
    Inventor: Jisong Jin
  • Patent number: 11735577
    Abstract: The disclosure provides a method for fabricating a semiconductor structure with strengthened patterns. The method includes forming a masking layer on the substrate, the masking layer including a peripheral region and an array region adjacent to the peripheral region; forming a first etched peripheral pattern in the peripheral region and a first etched array pattern in the array region, wherein the first etched peripheral pattern and the first etched array pattern have a top surface, a sidewall and a bottom surface, the sidewall connecting the top surface to the bottom surface; forming a second peripheral pattern on the first etched peripheral pattern and forming a second array pattern on the first etched array pattern; and etching the masking layer using the first etched peripheral pattern and the second peripheral pattern as an etching mask to form an etched masking layer in the peripheral region.
    Type: Grant
    Filed: November 12, 2021
    Date of Patent: August 22, 2023
    Assignee: NANYA TECHNOLOGY CORPORATION
    Inventors: Ching-Yuan Kuo, Chih-Hao Kuo
  • Patent number: 11729965
    Abstract: A semiconductor device that can be miniaturized or highly integrated is provided. The semiconductor device includes a transistor and a capacitor. The transistor includes a metal oxide and a first conductor that is electrically connected to the metal oxide. The capacitor includes a first insulator which is provided over the metal oxide and which the first conductor penetrates; a second insulator provided over the first insulator and including an opening reaching the first insulator and the first conductor; a second conductor in contact with an inner wall of the opening, the first insulator, and the first conductor; a third insulator provided over the second conductor; and a fourth conductor provided over the third insulator. The first insulator has higher capability of inhibiting the passage of hydrogen than the second insulator.
    Type: Grant
    Filed: June 27, 2022
    Date of Patent: August 15, 2023
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Yuichi Sato, Ryota Hodo, Yuta Iida, Tomoaki Moriwaka
  • Patent number: 11728158
    Abstract: The present disclosure provides a semiconductor structure and a method preparing it. After planarization of the Cu layer, a Si substrate is dry etched, so that a first height difference is configured in between the top surfaces of the the Si substrate and an insulating layer. By means of a wet etch process, Cu residues near an edge of a Cu post may be effectively removed. A second height difference is configured in between the top surfaces of the Cu post and the insulating layer. The first height difference is arranged to be greater than the second height difference. Channeling of Cu trace residues through the insulating layer are thereby avoided, effectively mitigating electrical leakage. Further, the Si substrate may be covered by a passivation layer, to prevent a conductive channel from being formed on the Si substrate, thereby further avoiding negative impact on the electrical properties of the device.
    Type: Grant
    Filed: September 2, 2021
    Date of Patent: August 15, 2023
    Assignee: SJ Semiconductor (Jiangyin) Corporation
    Inventors: Jiashan Yin, Zuyuan Zhou, Xingtao Xue, Chengchung Lin
  • Patent number: 11728164
    Abstract: Methods for selectively depositing oxide thin films on a dielectric surface of a substrate relative to a metal surface are provided. The methods can include at least one plasma enhanced atomic layer deposition (PEALD) cycle including alternately and sequentially contacting the substrate with a first precursor comprising oxygen and a species to be included in the oxide, such as a metal or silicon, and a second plasma reactant. In some embodiments the second plasma reactant comprises a plasma formed in a reactant gas that does not comprise oxygen. In some embodiments the second plasma reactant comprises plasma generated in a gas comprising hydrogen.
    Type: Grant
    Filed: October 11, 2021
    Date of Patent: August 15, 2023
    Assignee: ASM IP HOLDING B.V.
    Inventors: Eva Tois, Viljami Pore, Suvi Haukka, Toshiya Suzuki, Lingyun Jia, Sun Ja Kim, Oreste Madia
  • Patent number: 11728296
    Abstract: A device includes a first side interconnect structure over a first side of a substrate, wherein active circuits are in the substrate and adjacent to the first side of the substrate, a dielectric layer over a second side of the substrate, a pad embedded in the dielectric layer, the pad comprising an upper portion and a bottom portion formed of two different materials and a passivation layer over the dielectric layer.
    Type: Grant
    Filed: October 19, 2020
    Date of Patent: August 15, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Hsiao Yun Lo, Lin-Chih Huang, Tasi-Jung Wu, Hsin-Yu Chen, Yung-Chi Lin, Ku-Feng Yang, Tsang-Jiuh Wu, Wen-Chih Chiou
  • Patent number: 11730062
    Abstract: An electronic device may include a semiconductor memory, and the semiconductor memory may include a magnetic tunnel junction (MTJ) structure including a free layer, a pinned layer, and a tunnel barrier layer, the free layer having a variable magnetization direction, the pinned layer having a fixed magnetization direction, the tunnel barrier layer being interposed between the free layer and the pinned layer; and a thermal stability enhanced layer (TSEL) including a homogeneous material having an Fe—O bond.
    Type: Grant
    Filed: September 25, 2020
    Date of Patent: August 15, 2023
    Assignees: SK hynix Inc., Kioxia Corporation
    Inventors: Tae Young Lee, Guk Cheon Kim, Soo Gil Kim, Soo Man Seo, Jong Koo Lim, Taiga Isoda
  • Patent number: 11721610
    Abstract: The present disclosure provides a method for manufacturing a semiconductor structure. The method includes providing an underlying semiconductor layer; depositing an insulation layer over the underlying semiconductor layer; forming a first through semiconductor via extending continuously through the insulation layer; forming a second through semiconductor via extending continuously through the insulation layer; etching a portion of the insulation layer to expose a first upper end of the first through semiconductor via above the insulation layer and a second upper end of the second through semiconductor via above the insulation layer; and forming an upper conductive connecting portion laterally connected to a first upper lateral surface of the first upper end and a second upper lateral surface of the second upper end by a self-aligned deposition process.
    Type: Grant
    Filed: August 25, 2021
    Date of Patent: August 8, 2023
    Assignee: NANYA TECHNOLOGY CORPORATION
    Inventor: Liang-Pin Chou