Local Interconnects; Local Pads (epo) Patents (Class 257/E21.59)
  • Patent number: 11935834
    Abstract: The present disclosure relates to a semiconductor device with a contact structure and a method for preparing the semiconductor device. The semiconductor device includes a source/drain structure disposed over a semiconductor substrate, and a dielectric layer disposed over the source/drain structure. The semiconductor device also includes a polysilicon stack disposed over the source/drain structure and surrounded by the dielectric layer. The polysilicon stack includes a first polysilicon layer and a second polysilicon layer disposed over the first polysilicon layer. The first polysilicon layer is undoped, and the second polysilicon layer is doped. The semiconductor device further includes a contact structure disposed directly over the polysilicon stack and surrounded by the dielectric layer.
    Type: Grant
    Filed: July 3, 2023
    Date of Patent: March 19, 2024
    Assignee: NANYA TECHNOLOGY CORPORATION
    Inventor: Tse-Yao Huang
  • Patent number: 11923247
    Abstract: There may be presented a method of manufacturing a semiconductor chip. A first layer stack in which first material layers and second material layers are alternately stacked is formed over a semiconductor substrate including a chip region and a scribe lane region, and first crack propagation guides are formed on the first layer stack. A second layer stack is formed on the first layer stack and the first crack propagation guides, and second crack propagation guides are formed. A semiconductor chip is separated from the semiconductor substrate.
    Type: Grant
    Filed: September 8, 2021
    Date of Patent: March 5, 2024
    Assignee: SK hynix Inc.
    Inventor: Hyo Sub Yeom
  • Patent number: 11916127
    Abstract: Various embodiments of the present disclosure are directed towards a memory device including a first bottom electrode layer over a substrate. A ferroelectric switching layer is disposed over the first bottom electrode layer. A first top electrode layer is disposed over the ferroelectric switching layer. A second bottom electrode layer is disposed between the first bottom electrode layer and the ferroelectric switching layer. The second bottom electrode layer is less susceptible to oxidation than the first bottom electrode layer.
    Type: Grant
    Filed: June 16, 2021
    Date of Patent: February 27, 2024
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yi Yang Wei, Bi-Shen Lee, Hsin-Yu Lai, Hai-Dang Trinh, Hsing-Lien Lin, Hsun-Chung Kuang
  • Patent number: 11901306
    Abstract: Semiconductor structures are provided. A semiconductor structure includes a plurality of product regions over a semiconductor substrate, a plurality of alignment regions over the semiconductor substrate, and a plurality of first features formed in a material layer over the semiconductor substrate. Each of the alignment regions is surrounded by four of the product regions of a group, and each of the first features extends across two adjacent product regions in the group. The product regions are disposed in rows and columns of a first array, and the alignment regions are disposed in rows and columns of a second array, and the first and second arrays have a same center point.
    Type: Grant
    Filed: November 9, 2022
    Date of Patent: February 13, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chung-Yu Lu, Yao-Jen Chang, Sao-Ling Chiu
  • Patent number: 11862561
    Abstract: In an embodiment, a method of forming a structure includes forming a first transistor and a second transistor over a first substrate; forming a front-side interconnect structure over the first transistor and the second transistor; etching at least a backside of the first substrate to expose the first transistor and the second transistor; forming a first backside via electrically connected to the first transistor; forming a second backside via electrically connected to the second transistor; depositing a dielectric layer over the first backside via and the second backside via; forming a first conductive line in the dielectric layer, the first conductive line being a power rail electrically connected to the first transistor through the first backside via; and forming a second conductive line in the dielectric layer, the second conductive line being a signal line electrically connected to the second transistor through the second backside via.
    Type: Grant
    Filed: December 18, 2020
    Date of Patent: January 2, 2024
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Shang-Wen Chang, Yi-Hsun Chiu, Cheng-Chi Chuang, Ching-Wei Tsai, Wei-Cheng Lin, Shih-Wei Peng, Jiann-Tyng Tzeng
  • Patent number: 11823886
    Abstract: There is included (a) loading a substrate where a conductive metal-element-containing film is exposed on a surface of the substrate into a process chamber under a first temperature; (b) supplying a reducing gas to the substrate while raising a temperature of the substrate to a second temperature higher than the first temperature in the process chamber; (c) forming a first film on the metal-element-containing film, by supplying a first process gas, which does not include an oxidizing gas, to the substrate under the second temperature in the process chamber; and (d) forming a second film on the first film such that the second film is thicker than the first film, by supplying a second process gas, which includes an oxidizing gas, to the substrate under a third temperature higher than the first temperature in the process chamber.
    Type: Grant
    Filed: September 2, 2021
    Date of Patent: November 21, 2023
    Assignee: Kokusai Electric Corporation
    Inventors: Kazuhiro Harada, Masayoshi Minami, Shintaro Kogura, Shogo Otani, Yoshitomo Hashimoto
  • Patent number: 11825757
    Abstract: A semiconductor device includes a base structure of a memory device including a first electrode, first dielectric material having a non-uniform etch rate disposed on the base structure, a via within the first dielectric material, and a ring heater within the via on the first electrode. The ring heater has a geometry based on a shape of the via that produces a resistance gradient.
    Type: Grant
    Filed: January 10, 2022
    Date of Patent: November 21, 2023
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: Kangguo Cheng
  • Patent number: 11817454
    Abstract: Described examples include a resistor having a substrate having a non-conductive surface and a patterned polysilicon layer on the non-conductive surface, the patterned polysilicon layer including polycrystalline silicon wherein at least 90% of the grains in the polycrystalline silicon are 30 nm or smaller. The resistor also has a first terminal in conductive contact with the patterned polysilicon layer and a second terminal in conductive contact with the polysilicon layer and spaced from the first contact.
    Type: Grant
    Filed: August 31, 2021
    Date of Patent: November 14, 2023
    Assignee: Texas Instruments Incorporated
    Inventors: Yanbiao Pan, Robert Martin Higgins, Bhaskar Srinivasan, Pushpa Mahalingam
  • Patent number: 11782102
    Abstract: A microelectronic device has a Hall sensor that includes a Hall plate in a semiconductor material. The Hall sensor includes contact regions in the semiconductor material, contacting the Hall plate. The Hall sensor includes an isolation structure with a dielectric material contacting the semiconductor material, on at least two opposite sides of each of the contact regions. The isolation structure is laterally separated from the contact regions by gaps. The Hall sensor further includes a conductive spacer over the gaps, the conductive spacer being separated from the semiconductor material by an insulating layer.
    Type: Grant
    Filed: October 22, 2021
    Date of Patent: October 10, 2023
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Keith Ryan Green, Erika Lynn Mazotti, William David French, Ricky Alan Jackson
  • Patent number: 11769711
    Abstract: A semiconductor device may include a via hole, a first electrode, a second electrode and a first protecting insulation layer. The via hole may be formed to penetrate a substrate. The first electrode may include an electrode segment formed on a surface of the via hole. The second electrode may be formed on the first electrode along the surface of the via hole. The second electrode may include two ends that are positioned below a surface of the substrate. The first protecting insulation layer may be formed on the second electrode along the surface of the via hole. The first protecting insulation layer may include both ends that upwardly protrude from the both ends of the second electrode.
    Type: Grant
    Filed: March 31, 2021
    Date of Patent: September 26, 2023
    Assignee: SK HYNIX INC.
    Inventors: Jung Yong Chae, Jin Hee Cho
  • Patent number: 11764107
    Abstract: A semiconductor device includes a pair of line patterns disposed on a substrate. A contact plug is disposed between the pair of line patterns and an air gap is disposed between the contact plug and the line patterns. A landing pad extends from a top end of the contact plug to cover a first part of the air gap and an insulating layer is disposed on a second part of the air gap, which is not covered by the landing pad.
    Type: Grant
    Filed: January 8, 2021
    Date of Patent: September 19, 2023
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Byoungdeog Choi, JungWoo Seo, Sangyeon Han, Hyun-Woo Chung, Hongrae Kim, Yoosang Hwang
  • Patent number: 11756839
    Abstract: A method for manufacturing a MOS transistor includes following. A gate stack structure and a hardmask layer on the gate stack structure are sequentially formed on a substrate. A first spacer is formed on sidewalls of the gate stack structure and the hardmask layer. A photoresist layer is formed on a sidewall of the first spacer. A top surface of the photoresist layer is higher than a top surface of the gate stack structure. The hardmask layer and a portion of the first spacer are removed to expose the top surface of the gate stack structure. A top surface of a remaining first spacer is higher than the top surface of the gate stack structure. The photoresist layer is removed. A second spacer is formed on a sidewall of the remaining first spacer. A top surface of the second spacer is higher than the top surface of the gate stack.
    Type: Grant
    Filed: April 9, 2021
    Date of Patent: September 12, 2023
    Assignee: Powerchip Semiconductor Manufacturing Corporation
    Inventors: Wan-Yan Lin, Yu-Chieh Su, Ming-Chien Chiu, Mao-Hsing Chiu
  • Patent number: 11700775
    Abstract: A semiconductor device includes a substrate, a first dielectric layer, a second dielectric layer, and a third dielectric layer. The first dielectric layer is disposed on the substrate, around a first metal interconnection. The second dielectric layer is disposed on the first dielectric layer, around a via and a second metal interconnection. The second metal interconnection directly contacts the first metal interconnection. The third dielectric layer is disposed on the second dielectric layer, around a first magnetic tunneling junction (MTJ) structure and a third metal interconnection. The third metal interconnection directly contacts the first MTJ structure and the second metal interconnection, and the first MTJ structure directly contacts the via.
    Type: Grant
    Filed: November 5, 2020
    Date of Patent: July 11, 2023
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Hui-Lin Wang, Po-Kai Hsu, Ju-Chun Fan, Yi-Yu Lin, Ching-Hua Hsu, Hung-Yueh Chen
  • Patent number: 11694958
    Abstract: Semiconductor device layout designs for Vt tuning are provided. In one aspect, a semiconductor device is provided. The semiconductor device includes: at least one first metal line in contact with a source or drain of an FET; at least one second metal line in contact with a gate of the FET, wherein the first metal line crosses the second metal line; and an oxygen diffusion blocking layer on top of the at least one first metal line in an overlap area of the at least one first metal line and the at least one second metal line. A method of forming a semiconductor device is also provided.
    Type: Grant
    Filed: June 3, 2020
    Date of Patent: July 4, 2023
    Assignee: International Business Machines Corporation
    Inventors: Huimei Zhou, Su Chen Fan, Miaomiao Wang, Zuoguang Liu
  • Patent number: 11683928
    Abstract: The present application discloses a semiconductor device. The semiconductor device includes a substrate comprising an array area and a peripheral area adjacent to the array area; word line structures positioned in the array area; a word line hard mask layer positioned on the array area; a word line protection layer positioned on the word line hard mask layer; a gate electrode layer positioned on the peripheral area and separated from the word line hard mask layer and the word line protection layer; a peripheral protection layer positioned on the to gate electrode layer; and a first hard mask layer positioned over the array area and the peripheral area. A horizontal distance between the word line protection layer and the gate electrode layer is greater than or equal to three times of a thickness of the first hard mask layer.
    Type: Grant
    Filed: November 30, 2021
    Date of Patent: June 20, 2023
    Assignee: NANYA TECHNOLOGY CORPORATION
    Inventors: Hui-Lin Chen, Mao-Ying Wang, Yu-Ting Lin, Lai-Cheng Tien
  • Patent number: 11670580
    Abstract: Structures are provided that include a metal-insulator-metal capacitor (MIMCAP) present in the back-end-of-the-line (BEOL). The MIMCAP includes at least one of the bottom electrode and the top electrode having a via portion and a base portion that is formed utilizing a subtractive via etch process. Less via over etching occurs resulting in improved critical dimension control of the bottom and/or top electrodes that are formed by the subtractive via etch process. No bottom liner is present in the MIMCAP thus improving the resistance/capacitance of the device. Also, and in some embodiments, a reduced foot-print area is possible to bring the via portion of the bottom electrode closer to the top electrode.
    Type: Grant
    Filed: August 30, 2021
    Date of Patent: June 6, 2023
    Assignee: International Business Machines Corporation
    Inventors: Yann Mignot, Hsueh-Chung Chen, Junli Wang, Mary Claire Silvestre, Chi-Chun Liu
  • Patent number: 11655141
    Abstract: A method of forming an ultrasound transducer device includes bonding a membrane to a substrate so as to form a sealed cavity between the membrane and the substrate. An exposed surface located within the sealed cavity includes a getter material that is electrically isolated from a bottom electrode of the cavity.
    Type: Grant
    Filed: September 27, 2019
    Date of Patent: May 23, 2023
    Assignee: BFLY OPERATIONS, INC.
    Inventors: Jianwei Liu, Keith G. Fife, Joseph Lutsky, Lingyun Miao
  • Patent number: 11651992
    Abstract: The present disclosure relates to semiconductor structures and, more particularly, to gap fill void and connection structures and methods of manufacture. The structure includes: a gate structure comprising source and drain regions; a gate contact in direct contact and overlapping the gate structure; and source and drain contacts directly connecting to the source and drain regions, respectively.
    Type: Grant
    Filed: January 11, 2021
    Date of Patent: May 16, 2023
    Assignee: GLOBALFOUNDRIES U.S. Inc.
    Inventors: Haigou Huang, Yuping Ren, Paul Ackmann, Guoxiang Ning
  • Patent number: 11652454
    Abstract: There is provided a monolithic microwave integrated circuit, MMIC, front-end module (100) comprising: a gallium nitride structure (110) supported by a silicon substrate (120); a silicon-based transmit/receive switch (130) having a transmit mode and a receive mode; a transmit amplifier (112) configured to amplify an outgoing signal to be transmitted by said MMIC front-end module, wherein said transmit amplifier is electrically connected (132) to said transmit/receive switch, wherein said transmit amplifier comprises a gallium nitride high-electron-mobility transistor, HEMT, (114) formed in said gallium nitride structure; and a receive amplifier (113) configured to amplify an incoming signal received by said MMIC front-end module, wherein said receive amplifier is electrically connected (133) to said transmit/receive switch, wherein said receive amplifier comprises a gallium nitride HEMT (115) formed in said gallium nitride structure.
    Type: Grant
    Filed: June 17, 2022
    Date of Patent: May 16, 2023
    Assignee: EPINOVATECH AB
    Inventor: Martin Andreas Olsson
  • Patent number: 11631734
    Abstract: A vertical capacitor structure includes a substrate, at least a pillar, a first conductive layer, a first dielectric layer and a second conductive layer. The substrate defines a cavity. The pillar is disposed in the cavity. The first conductive layer covers and is conformal to the cavity of the substrate and the pillar, and is insulated from the substrate. The first dielectric layer covers and is conformal to the first conductive layer. The second conductive layer covers and is conformal to the first dielectric layer. The first conductive layer, the first dielectric layer and the second conductive layer jointly form a capacitor component.
    Type: Grant
    Filed: November 23, 2020
    Date of Patent: April 18, 2023
    Assignee: ADVANCED SEMICONDUCTOR ENGINEERING, INC.
    Inventors: Syu-Tang Liu, Huang-Hsien Chang, Tsung-Tang Tsai, Hung-Jung Tu
  • Patent number: 11631646
    Abstract: A method for producing a plurality of chips each comprising an individualisation region, each chip comprising at least: a first and a second level of the electrical tracks, and an interconnections level comprising vias. The method includes producing on the dielectric layer covering the first level a mask having openings located in line with the electrical tracks and making the dielectric layer accessible. The method includes producing, in a region of the chip comprising the individualisation region, patterns conformed so that: first openings of the hard mask are not masked by the patterns, and second openings of the hard mask are masked by the patterns. The method includes producing via openings in the dielectric layer in line solely with the first openings. The method further includes filling in the via openings with an electrically conductive material, and producing the second level of the electrical tracks on the vias.
    Type: Grant
    Filed: March 30, 2021
    Date of Patent: April 18, 2023
    Assignee: COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
    Inventors: Stefan Landis, Michaël May
  • Patent number: 11631672
    Abstract: A semiconductor integrated circuit includes a substrate, and a standard cell on the substrate. The standard cell includes a first wiring structure electrically connecting a first gate pattern to a fourth gate pattern, and a second wiring structure electrically connecting a second gate pattern to a third gate pattern. The first wiring structure includes a first lower wiring layer, a second lower wiring layer, first and second intermediate wiring layers, and a first upper wiring layer. The second wiring structure includes a third lower wiring layer, a fourth lower wiring layer, third and fourth intermediate wiring layers, and a second upper wiring layer.
    Type: Grant
    Filed: August 19, 2020
    Date of Patent: April 18, 2023
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Jinwoo Jeong, Raheel Azmat
  • Patent number: 11587859
    Abstract: An interposer includes a base layer having a first surface and a second surface, a redistribution structure on the first surface, an interposer protection layer on the second surface, a pad wiring layer on the interposer protection layer, an interposer through electrode passing through the base layer and the interposer protection layer and electrically connecting the redistribution structure to the pad wiring layer, an interposer connection terminal attached to the pad wiring layer, and a wiring protection layer including a first portion covering a portion of the interposer protection layer adjacent to the pad wiring layer, a second portion covering a portion of a top surface of the pad wiring layer, and a third portion covering a side surface of the pad wiring layer. The third portion is disposed between the first portion and the second portion. The first to third portions have thicknesses different from each other.
    Type: Grant
    Filed: October 27, 2021
    Date of Patent: February 21, 2023
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Yukyung Park, Seungkwan Ryu, Yunseok Choi
  • Patent number: 11575016
    Abstract: The present application discloses a method for fabricating a semiconductor device includes providing a substrate, forming a gate stack on the substrate and a pair of heavily-doped regions in the substrate, forming a programmable contact having a first width on the gate stack, and forming a first contact having a second width, which is greater than the first width, on one of the pair of heavily-doped regions.
    Type: Grant
    Filed: May 13, 2021
    Date of Patent: February 7, 2023
    Assignee: NANYA TECHNOLOGY CORPORATION
    Inventor: Chin-Ling Huang
  • Patent number: 11552035
    Abstract: An electronic package and method includes a substrate including a plurality of pads on a major surface. An electronic component including a plurality of pads on a major surface facing the major surface of the substrate. A stud bump electrically couples one of the plurality of pads of the substrate to one of the plurality of pads of the electronic component.
    Type: Grant
    Filed: August 3, 2021
    Date of Patent: January 10, 2023
    Assignee: Intel Corporation
    Inventors: Zhaozhi Li, Sanka Ganesan, Debendra Mallik, Gregory Perry, Kuan H. Lu, Omkar Karhade, Shawna M. Liff
  • Patent number: 11515247
    Abstract: A device includes a main capacitor composed of a first plate of a first back-end-of-line (BEOL) metallization layer, a main insulator layer on the first plate, and a second plate on the main insulator layer. The second plate is composed of a second BEOL metallization layer. The device includes a first tuning capacitor of a first portion of a first BEOL interconnect trace coupled to the first plate of the main capacitor through first BEOL sideline traces. The first tuning capacitor is composed of a first insulator layer on a surface and sidewalls of the first portion of the first BEOL interconnect trace. The first tuning capacitor includes a second BEOL interconnect trace on a surface and sidewalls of the first insulator layer. The device includes a first via capture pad coupled to the second BEOL interconnect trace of the first tuning capacitor.
    Type: Grant
    Filed: January 14, 2021
    Date of Patent: November 29, 2022
    Assignee: QUALCOMM Incorporated
    Inventors: Nosun Park, Changhan Hobie Yun, Daniel Daeik Kim, Sameer Sunil Vadhavkar, Paragkumar Ajaybhai Thadesar
  • Patent number: 11462496
    Abstract: In one embodiment, a semiconductor device includes a first chip including a substrate, a first plug on the substrate, and a first pad on the first plug, and a second chip including a second plug and a second pad under the second plug. The second chip includes an electrode layer electrically connected to the second plug, a charge storage layer provided on a side face of the electrode layer via a first insulator, and a semiconductor layer provided on a side face of the charge storage layer via a second insulator. The first and second pads are bonded with each other, and the first and second plugs are disposed so that at least a portion of the first plug and at least a portion of the second plug do not overlap with each other in a first direction that is perpendicular to a surface of the substrate.
    Type: Grant
    Filed: November 19, 2020
    Date of Patent: October 4, 2022
    Assignee: Kioxia Corporation
    Inventor: Masayoshi Tagami
  • Patent number: 11424338
    Abstract: A semiconductor device according to the present disclosure includes a vertical stack of channel members, a gate structure over and around the vertical stack of channel members, and a first source/drain feature and a second source/drain feature. Each of the vertical stack of channel members extends along a first direction between the first source/drain feature and the second source/drain feature. Each of the vertical stack of channel members is spaced apart from the first source/drain feature by a silicide feature.
    Type: Grant
    Filed: March 31, 2020
    Date of Patent: August 23, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventor: Pei-Yu Wang
  • Patent number: 11152454
    Abstract: In an embodiment, a semiconductor device includes a resistor that overlies a doped region of the semiconductor device. The resistor is formed into a pattern of a polygon spiral. An embodiment of the pattern of the resistor includes sides and corners. The material of the sides has a low resistivity and the material of the corners has a higher resistivity.
    Type: Grant
    Filed: June 20, 2019
    Date of Patent: October 19, 2021
    Assignee: SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC
    Inventors: Arash Elhami Khorasani, Mark Griswold
  • Patent number: 11145747
    Abstract: A semiconductor device structure is provided. The semiconductor device structure includes a substrate having a fin structure protruding therefrom, an insulating layer is over the substrate to cover the fin structure, a gate structure in the insulating layer and over the fin structure, and source and drain features covered by the insulating layer and over the fin structure on opposing sidewall surfaces of the gate structure. The gate structure includes a gate electrode layer, a conductive sealing layer covering the gate electrode layer, and a gate dielectric layer between the fin structure and the gate electrode layer and surrounding the gate electrode layer and the conductive sealing layer. The gate electrode layer has a material removal rate that is higher than the material removal rate of the conductive sealing layer in a chemical mechanical polishing process.
    Type: Grant
    Filed: February 22, 2018
    Date of Patent: October 12, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Hsin-Yun Hsu, Hsiao-Kuan Wei
  • Patent number: 11101353
    Abstract: Methods of forming contacts for source/drain regions and a contact plug for a gate stack of a finFET device are disclosed herein. Methods include etching a contact opening through a dielectric layer to expose surfaces of a first source/drain contact and repairing silicon oxide structures along sidewall surfaces of the contact opening and along planar surfaces of the dielectric layer to prevent selective loss defects from occurring during a subsequent selective deposition of conductive fill materials and during subsequent etching of other contact openings. The methods further include performing a selective bottom-up deposition of conductive fill material to form a second source/drain contact. According to some of the methods, once the second source/drain contact has been formed, the contact plug may be formed over the gate stack.
    Type: Grant
    Filed: April 17, 2019
    Date of Patent: August 24, 2021
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chun-Hsien Huang, Chang-Ting Chung, Wei-Cheng Lin, Wei-Jung Lin, Chih-Wei Chang
  • Patent number: 11075203
    Abstract: Semiconductor structures are provided. A first logic cell includes a plurality of first transistors over a substrate. Each first transistor includes a first gate electrode across a first channel region. The first gate electrode is electrically connected to a first conductive line of the metal layer through a first contact in a first dielectric layer and a first via in a second dielectric layer over the first dielectric layer. A second logic cell includes a plurality of second transistors over the substrate. Each second transistor includes a second gate electrode across a second channel region. The second gate electrode is electrically connected to a second conductive line of the metal layer directly through a second via in the first and second dielectric layers. The first transistors are surrounded by dummy gate electrodes, and the second transistors are surrounded by dummy gate dielectrics.
    Type: Grant
    Filed: May 22, 2020
    Date of Patent: July 27, 2021
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Jhon-Jhy Liaw
  • Patent number: 10734383
    Abstract: An integrated circuit includes a gate electrode level region that includes a plurality of linear-shaped conductive structures. Each of the plurality of linear-shaped conductive structures is defined to extend lengthwise in a first direction. Some of the plurality of linear-shaped conductive structures form one or more gate electrodes of corresponding transistor devices. A local interconnect conductive structure is formed between two of the plurality of linear-shaped conductive structures so as to extend in the first direction along the two of the plurality of linear-shaped conductive structures.
    Type: Grant
    Filed: January 2, 2018
    Date of Patent: August 4, 2020
    Assignee: Tela Innovations, Inc.
    Inventors: Michael C. Smayling, Scott T. Becker
  • Patent number: 10686036
    Abstract: A method of making a bipolar transistor includes patterning a first photoresist over a collector region of the bipolar transistor, the first photoresist defining a first opening. The method further includes performing a first implantation process through the first opening. The method further includes patterning a second photoresist over the collector region, the second photoresist defining a second opening different from the first opening. The method further includes performing a second implantation process through the second opening, wherein a dopant concentration resulting from the second implantation process is different from a dopant concentration resulting from the first implantation process.
    Type: Grant
    Filed: May 4, 2017
    Date of Patent: June 16, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Fu-Hsiung Yang, Long-Shih Lin, Kun-Ming Huang, Chih-Heng Shen, Po-Tao Chu
  • Patent number: 10304980
    Abstract: This disclosure provides systems, methods, and apparatus related to solar water splitting. In one aspect, a structure includes a plurality of first nanowires, the plurality of first nanowires comprising an n-type semiconductor or a p-type semiconductor. The structure further includes a second nanowire, the second nanowire comprising the n-type semiconductor or the p-type semiconductor, the second nanowire being a different composition than the plurality of first nanowires. The second nanowire includes a first region and a second region, with the first region having a conductive layer disposed thereon, and each of the plurality of first nanowires being disposed on the conductive layer.
    Type: Grant
    Filed: April 22, 2015
    Date of Patent: May 28, 2019
    Assignee: The Regents of the University of California
    Inventors: Peidong Yang, Chong Liu, Jinyao Tang, Hao Ming Chen, Bin Liu
  • Patent number: 9865564
    Abstract: A system for laser ashing of polyimide for a semiconductor manufacturing process is provided. The system includes: a semiconductor chip, a top chip attached to the semiconductor chip by a connection layer, a supporting material, a polyimide glue layer disposed between the supporting material and semiconductor chip, a plasma asher, and an ashing laser configured to ash the polyimide glue on the semiconductor chip.
    Type: Grant
    Filed: February 18, 2015
    Date of Patent: January 9, 2018
    Assignee: GLOBALFOUNDRIES INC
    Inventors: Maxime Cadotte, Luc Guerin, Van Thanh Truong, Steve Whitehead
  • Patent number: 9825167
    Abstract: In characteristic test measurements of double-gate-in-trench p-channel power MOSFETs each having a p+ polysilicon gate electrode and a p+ field plate electrode in a trench, which were fabricated according to common design techniques, it has been found that, under conditions where a negative gate bias is applied continuously at high temperature with respect to the substrate, an absolute value of threshold voltage tends to increase steeply after the lapse of a certain period of stress application time. To solve this problem, the present invention provides a p-channel power MOSFET having an n-type polysilicon linear field plate electrode and an n-type polysilicon linear gate electrode in each trench part thereof.
    Type: Grant
    Filed: August 15, 2016
    Date of Patent: November 21, 2017
    Assignee: Renesas Electronics Corporation
    Inventors: Hitoshi Matsuura, Yoshito Nakazawa
  • Patent number: 9818356
    Abstract: A display device includes a display panel including gate lines, data lines, and pixels connected to the gate line and the data lines, a gate driver driving the gate lines, a level shifter applying a gate clock signal to the gate driver, a data driver driving the data lines, a timing controller generating control signals to control the level shifter, the gate driver, and the data driver, and a backlight unit providing light to the display panel. The level shifter sets a voltage level of a gate-on voltage of the gate clock signal to a voltage level of a first gate-on voltage or a voltage level of a second gate-on voltage higher than the first gate-on voltage in response to a gate-on control signal.
    Type: Grant
    Filed: July 9, 2013
    Date of Patent: November 14, 2017
    Assignee: SAMSUNG DISPLAY CO., LTD.
    Inventors: Myung-Ho Won, Jin-Mo Kwon, Yonghwan Kim, Chul Shin, Kwan-Woo Lee, Sung-Woon Im
  • Patent number: 9749561
    Abstract: A solid-state imaging device includes a light receiving section formed by such exposure as to stitch a plurality of patterns in a first direction on a semiconductor substrate. The light receiving section includes a plurality of pixels disposed in a two-dimensional array in the first direction and a second direction perpendicular to the first direction. Electric charges are transferred in the second direction in each of pixel columns consisting of a plurality of pixels disposed in the second direction, among the plurality of pixels.
    Type: Grant
    Filed: February 12, 2014
    Date of Patent: August 29, 2017
    Assignee: HAMAMATSU PHOTONICS K.K.
    Inventors: Hisanori Suzuki, Masaharu Muramatsu
  • Patent number: 9691714
    Abstract: A semiconductor device of the present invention includes a first interlayer film having a first region and a second region, a MIM structure including a lower electrode formed on the second region, a first capacitance film formed on the lower electrode, and an upper electrode formed on the first capacitance film, a lower metal layer formed on the first region, and disposed in the same layer level with the lower electrode, an auxiliary metal layer disposed in the same layer level with the upper electrode, and opposed to the lower metal layer, a second interlayer film formed on the first interlayer film, and covering the auxiliary metal layer and the MIM structure, and a top metal layer formed on the second interlayer film, and penetrating through the second interlayer film to contact the auxiliary metal layer.
    Type: Grant
    Filed: November 30, 2015
    Date of Patent: June 27, 2017
    Assignee: ROHM CO., LTD.
    Inventors: Yoshihiro Hamada, Yushi Sekiguchi
  • Patent number: 9520360
    Abstract: A method for fabricating a multilayer structure includes providing a mask on a device stack disposed on the substrate, the device stack comprising a first plurality of layers composed of a first layer type and a second layer type; directing first ions along a first direction forming a first non-zero angle of incidence with respect to a normal to a plane of the substrate, wherein a first sidewall is formed having a sidewall angle forming a first non-zero angle of inclination with respect to the normal, the first sidewall comprising a second plurality of layers from at least a portion of the first plurality of layers and composed of the first layer type and second layer type; and etching the second plurality of layers using a first selective etch wherein the first layer type is selectively etched with respect to the second layer type.
    Type: Grant
    Filed: April 18, 2016
    Date of Patent: December 13, 2016
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Anthony Renau, Christopher Hatem
  • Patent number: 9437624
    Abstract: A thin film transistor (TFT) substrate, a flat display apparatus including the TFT substrate, a method of manufacturing the TFT substrate, and a method of manufacturing the flat display apparatus, the thin film transistor (TFT) substrate including a substrate; a first gate electrode on the substrate, the first gate electrode including a first branch electrode and a second branch electrode that are spaced apart from one another; a polysilicon layer on the first gate electrode and insulated from the first gate electrode; and a second gate electrode on the polysilicon layer, the second gate electrode being insulated from the polysilicon layer and overlying the first and second branch electrodes.
    Type: Grant
    Filed: April 17, 2015
    Date of Patent: September 6, 2016
    Assignee: SAMSUNG DISPLAY CO., LTD.
    Inventors: Myounggeun Cha, Dongjo Kim, Yoonho Khang, Myounghwa Kim, Kyoungwon Lee
  • Patent number: 9006834
    Abstract: A semiconductor device fabrication process includes forming insulating mandrels over replacement metal gates on a semiconductor substrate with first gates having sources and drains and at least one second gate being isolated from the first gates. Mandrel spacers are formed around each insulating mandrel. The mandrels and mandrel spacers include the first insulating material. A second insulating layer of the second insulating material is formed over the transistor. One or more first trenches are formed to the sources and drains of the first gates by removing the second insulating material between the insulating mandrels. A second trench is formed to the second gate by removing portions of the first and second insulating materials above the second gate. The first trenches and the second trench are filled with conductive material to form first contacts to the sources and drains of the first gates and a second contact to the second gate.
    Type: Grant
    Filed: March 18, 2014
    Date of Patent: April 14, 2015
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Richard T Schultz
  • Patent number: 9006040
    Abstract: A method of fabricating a semiconductor device is disclosed. A photosensitive material is coated over the device. A plurality of masks for a chip layout are obtained. The plurality of masks are exposed to encompass a chip area of the device using at least one reticle repeatedly. The at least one reticle is of a set of reticles. The chip area has a resultant dimension greater than a dimension of the at least one reticle. A developer is used to remove soluble portions of the photosensitive material forming a resist pattern in the chip area.
    Type: Grant
    Filed: April 16, 2013
    Date of Patent: April 14, 2015
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ming-Chang Hsieh, Kong-Beng Thei
  • Patent number: 9006100
    Abstract: An approach for providing MOL constructs using diffusion contact structures is disclosed. Embodiments include: providing a first diffusion region in a substrate; providing, via a first lithography process, a first diffusion contact structure; providing, via a second lithography process, a second diffusion contact structure; and coupling the first diffusion contact structure to the first diffusion region and the second diffusion contact structure. Embodiments include: providing a second diffusion region in the substrate; providing a diffusion gap region between the first and second diffusion regions; providing the diffusion contact structure over the diffusion gap region; and coupling, via the diffusion contact structure, the first and second diffusion regions.
    Type: Grant
    Filed: August 7, 2012
    Date of Patent: April 14, 2015
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Mahbub Rashed, Yuansheng Ma, Irene Lin, Jason Stephens, Yunfei Deng, Yuan Lei, Jongwook Kye, Rod Augur, Shibly Ahmed, Subramani Kengeri, Suresh Venkatesan
  • Patent number: 8987128
    Abstract: An approach for providing cross-coupling-based designs using diffusion contact structures is disclosed. Embodiments include providing first and second gate structures over a substrate; providing a first gate cut region across the first gate structure, and a second gate cut region across the second gate structure; providing a first gate contact over the first gate structure, and a second gate contact over the second gate structure; and providing a diffusion contact structure between the first and second gate cut regions to couple the first gate contact to the second gate contact.
    Type: Grant
    Filed: July 30, 2012
    Date of Patent: March 24, 2015
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Mahbub Rashed, Marc Tarabbia, Chinh Nguyen, David Doman, Juhan Kim, Xiang Qi, Suresh Venkatesan
  • Patent number: 8952538
    Abstract: A semiconductor device includes: an integrated circuit having an electrode pad; a first insulating layer disposed on the integrated circuit; a redistribution layer including a plurality of wirings and disposed on the first insulating layer, at least one of the plurality of wirings being electrically coupled to the electrode pad; a second insulating layer having a opening on at least a portion of the plurality of wirings; a metal film disposed on the opening and on the second insulating layer, and electrically coupled to at least one of the plurality of wirings; and a solder bump the solder bump overhanging at least one of the plurality of wirings not electrically coupled to the metal film.
    Type: Grant
    Filed: December 22, 2010
    Date of Patent: February 10, 2015
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Hirohisa Matsuki
  • Patent number: 8946079
    Abstract: A semiconductor construct includes a semiconductor substrate and connection pads provided on the semiconductor substrate. Some of the connection pads are connected to a common wiring and at least one of the remaining of the connection pads are connected to a wiring. The construct also includes a first columnar electrode provided to be connected to the common wiring and a second columnar electrode provided to be connected to a connection pad portion of the wiring.
    Type: Grant
    Filed: May 6, 2014
    Date of Patent: February 3, 2015
    Assignee: Tera Probe, Inc.
    Inventors: Shinji Wakisaka, Takeshi Wakabayashi
  • Patent number: 8906799
    Abstract: A method and structure for preventing integrated circuit failure due to electromigration and time dependent dielectric breakdown is disclosed. A randomly patterned metal cap layer is selectively formed on the metal interconnect lines (typically copper (Cu)) with an interspace distance between metal cap segments that is less than the critical length (for short-length effects). Since the diffusivity is lower for the Cu/metal cap interface than for the Cu/dielectric cap interface, the region with a metal cap serves as a diffusion barrier.
    Type: Grant
    Filed: July 29, 2013
    Date of Patent: December 9, 2014
    Assignee: International Business Machines Corporation
    Inventors: Ronald G. Filippi, Erdem Kaltalioglu, Wai-Kin Li, Ping-Chuan Wang, Lijuan Zhang
  • Patent number: 8907485
    Abstract: An integrated circuit wire bond connection is provided having an aluminum bond pad (51) that is directly bonded to a copper ball (52) to form an aluminum splash structure (53) and associated crevice opening (55) at a peripheral bond edge of the copper ball (54), where the aluminum splash structure (53) is characterized by a plurality of geometric properties indicative of a reliable copper ball bond, such as lateral splash size, splash shape, relative position of splash-ball crevice to the aluminum pad, crevice width, crevice length, crevice angle, and/or crevice-pad splash index.
    Type: Grant
    Filed: August 24, 2012
    Date of Patent: December 9, 2014
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Leo M. Higgins, III, Chu-Chung Lee