Including Internal Interconnections, E.g., Cross-under Constructions (epo) Patents (Class 257/E23.168)
  • Publication number: 20090159883
    Abstract: A test pattern for a semiconductor device and a method for forming the test pattern that can determine the degree of over etching of contact holes and obviate the need to perform a physical analysis using SEM, FIB or the like after the wafer is destroyed.
    Type: Application
    Filed: December 14, 2008
    Publication date: June 25, 2009
    Inventor: Choon-Ho Lee
  • Publication number: 20090152730
    Abstract: An exemplary interconnected structure for transferring a voltage signal to a thin film transistor (TFT) array substrate includes a first metal layer (310), a second metal layer (320) isolated from the first metal layer and a conductive layer (340) isolated from the second metal layer. The first metal layer is electrically connected to the conductive layer via at least one first contact hole (351, 352) thereby obtaining a first contacting area between the first metal layer and the conductive layer. The second metal layer is electrically connected to the conductive layer via at least one second contact hole (353, 354) thereby obtaining a second contacting area between the second metal layer and the conductive layer. A radio of the sum of the first contacting area and the second contacting area to the voltage value of the voltage signal is equal to or greater than 0.233 ?m2/mv.
    Type: Application
    Filed: December 15, 2008
    Publication date: June 18, 2009
    Inventors: Hsin-Li Chen, Chao-Chih Lai, Ya-Chu Fan, Chao-Yi Hung, Tsau-Hua Hsieh
  • Publication number: 20090152611
    Abstract: A semiconductor device comprises a first contact plug, a first structure and a second insulating layer, or comprises a first contact plug, a first structure, a protruding region and a second insulating layer. The first contact plug extends in a predetermined direction and including a step converting a cross section area of the first contact plug perpendicular to the predetermined direction discontinuously via the step in one end side. The second insulating layer is formed on side surface of a part of the first contact plug closer to the first structure than the step, or on side surfaces of the protruding region and a part of the first contact plug closer to the first structure than the step.
    Type: Application
    Filed: December 11, 2008
    Publication date: June 18, 2009
    Applicant: ELPIDA MEMORY, INC.
    Inventor: Hiroyuki FUJIMOTO
  • Publication number: 20090152615
    Abstract: Embodiments relate to a semiconductor device that may include a floating gate, an inter poly dielectric formed on and/or over both sides of the floating gate in a bit line direction and on and/or over both side of the floating gate in a word line direction, and a control gate formed on and/or over the IPD. According to embodiments, an IPD may be formed on and/or over a top and four sides of a floating gate. This may increase a coupling ratio of a semiconductor device.
    Type: Application
    Filed: December 9, 2008
    Publication date: June 18, 2009
    Inventor: Ji-Ho Hong
  • Publication number: 20090146193
    Abstract: A method of making a conductive interconnect structure includes the steps of: electrodepositing a metal on a conductive surface (4) of a carrier (2) to form a first elongate conductive interconnect (12); and electrodepositing a dielectric material (14) on said conductive interconnect (12) while the conductive interconnect (12) is in contact with the conductive surface (4).
    Type: Application
    Filed: November 19, 2008
    Publication date: June 11, 2009
    Applicant: HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P.
    Inventor: John Christopher Rudin
  • Publication number: 20090146309
    Abstract: A semiconductor device has a first insulating film formed over a semiconductor substrate, a first opening formed in the first insulating film, a first manganese oxide film formed along an inner wall of the first opening, a first copper wiring embedded in the first opening, and a second manganese oxide film formed on the first copper wiring including carbon.
    Type: Application
    Filed: November 20, 2008
    Publication date: June 11, 2009
    Applicant: FUJITSU MICROELECTRONICS LIMITED
    Inventors: Hiroshi KUDO, Nobuyuki OHTSUKA, Masaki HANEDA, Tamotsu OWADA
  • Publication number: 20090140303
    Abstract: A semiconductor device and a method for manufacturing the same includes forming a via pattern having a matrix form in a dielectric layer. The via pattern includes a via slit provided at the center of the via pattern and a plurality of via holes provided at an outer periphery of the via pattern and surrounding the via slit. Metal plugs are formed in the via holes.
    Type: Application
    Filed: December 3, 2008
    Publication date: June 4, 2009
    Inventor: Chee-Hong Choi
  • Publication number: 20090140430
    Abstract: A first copper alloy sputtering target comprising 0.5 to 4.0 wt % of Al and 0.5 wtppm or less of Si and a second copper alloy sputtering target comprising 0.5 to 4.0 wt % of Sn and 0.5 wtppm or less of Mn are disclosed. The first and/or the second alloy sputtering target can further comprise one or more elements selected from among Sb, Zr, Ti, Cr, Ag, Au, Cd, In and As in a total amount of 1.0 wtppm or less. A semiconductor element wiring formed by the use of the above targets is also disclosed. The above copper alloy sputtering target allows the formation of a wiring material for a semiconductor element, in particular, a seed layer being stable, uniform and free from the occurrence of coagulation during electrolytic copper plating and exhibits excellent sputtering film formation characteristics.
    Type: Application
    Filed: February 9, 2009
    Publication date: June 4, 2009
    Applicant: Nippon Mining & Metals Co., Ltd.
    Inventors: Takeo Okabe, Hirohito Miyashita
  • Publication number: 20090140435
    Abstract: In a semiconductor integrated circuit device comprising a semiconductor chip having a number of conductor layers and a number of via layers between the conductor layers, a routing matrix is provided in a small area of the chip to act as a revision number register. The routing matrix includes a matrix block having, in each metal layer of the chip, conductor tracks, the tracks in each metal layer running in a respective direction different from the direction of the tracks in the adjacent metal layers so that the tracks of each consecutive pair of metal layers cross over each other. In each via layer between consecutive metal layers, the matrix block includes selectively placed vias interconnecting the tracks in the adjacent metal layers on each side of the respective via layer.
    Type: Application
    Filed: December 28, 2007
    Publication date: June 4, 2009
    Applicant: GloNav Limited
    Inventor: David Lynch
  • Publication number: 20090140438
    Abstract: Wirings each having a side face with a different angle, which is made accurately, in a desired portion over one mother glass substrate are provided without increasing the steps. With the use of a multi-tone mask, a photoresist layer is formed, which has a tapered shape in which the area of a cross section is reduced gradually in a direction away from one mother glass substrate. At the time of forming one wiring, one photomask is used and a metal film is selectively etched, whereby one wiring having a side face, the shape (specifically, an angle with respect to a principal plane of a substrate) of which is different depending on a place, is obtained.
    Type: Application
    Filed: December 1, 2008
    Publication date: June 4, 2009
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Shunpei YAMAZAKI, Hideaki KUWABARA
  • Publication number: 20090134517
    Abstract: A first insulating film is formed on a semiconductor substrate. A first interconnection is formed in a trench formed in the first insulating film. A first barrier film is formed between the first interconnection and first insulating film. A second insulating film is formed on the upper surface of the first interconnection, and in a first hollow portion between the side surface of the first barrier film and the first insulating film. The second insulating film is formed from the upper surface of the first interconnection to a depth higher than the bottom surface of the first interconnection. The first hollow portion is formed below the bottom surface of the second insulating film.
    Type: Application
    Filed: November 24, 2008
    Publication date: May 28, 2009
    Inventors: Takamasa USUI, Tadayoshi Watanabe
  • Publication number: 20090134524
    Abstract: A semiconductor device comprising a signal transmission line of a microstrip structure, capable of increasing the characteristic impedance of the signal transmission line and reducing coupling between a plurality of signal lines. In a signal transmission line of a microstrip structure composed of a signal line and a ground plate, the capacitance between wires is reduced and the characteristic impedance can be increased by forming holes in the signal line or in the ground plate. The coupling between a plurality of signal lines can also be reduced.
    Type: Application
    Filed: January 21, 2009
    Publication date: May 28, 2009
    Applicant: NEC CORPORATION
    Inventor: Masayuki MIZUNO
  • Publication number: 20090134526
    Abstract: An interconnect structure that may reduce or eliminate stress induced voids is provided. In an embodiment, a via is formed below a conductive line to provide an electrical connection to an underlying conductive region. The conductive line includes a widened region above the via. The widened region serves to reduce or eliminate stress induced voids between the via and the underlying conductive region. In another embodiment, one or more redundant lines are formed extending from a conductive region, such as a contact pad, such that the redundant line does not electrically couple the conductive region to an underlying conductive region. In a preferred embodiment, the redundant lines extend from a conductive region on a side adjacent to a side having a conductive line coupled to a via.
    Type: Application
    Filed: January 30, 2009
    Publication date: May 28, 2009
    Inventor: Chien-Jung Wang
  • Publication number: 20090115066
    Abstract: A metal wiring layer and a method of fabricating the metal wiring layer are provided. The method includes forming a dielectric layer on a substrate, forming a plurality of dielectric layer patterns and holes therein on the substrate by etching part of the dielectric layer, with a cross sectional area of the holes in the dielectric layer patterns decreasing with increasing distance away from the substrate and the holes exposing the substrate, forming a trench by etching a portion of the substrate exposed through the holes in the dielectric layer patterns, and forming a metal layer which fills the trench and the holes in the dielectric layer patterns. Thus, it is possible to prevent the occurrence of an edge build-up phenomenon by forming a metal layer in a plurality of holes in the dielectric layer patterns having a cross sectional area decreasing with increasing distance away from the substrate.
    Type: Application
    Filed: October 31, 2008
    Publication date: May 7, 2009
    Inventors: Dong-Ju Yang, Shin-Il Choi, Sang-Gab Kim, Min-Seok Oh, Hong-Kee Chin, Ki-Yeup Lee, Yu-Gwang Jeong, Seung-Ha Choi
  • Publication number: 20090108463
    Abstract: According to an aspect of an embodiment, a method of manufacturing a semiconductor device has forming a wiring layer over a substrate, forming a first film over the wiring layer, forming a second film over the first film, selectively etching the first and second films to form an first end of the first and second films over the wiring layer, forming a third film over the second film, selectively etching the third film to form a second end of the third film tapered off over the first end of the first and second films, forming an interlayer insulating film over the second and third films, forming a contact hole by selectively etching the interlayer insulating film, the first film, the second film and the third film, and forming a contact plug connected to the wiring layer in the contact holes.
    Type: Application
    Filed: October 15, 2008
    Publication date: April 30, 2009
    Applicant: FUJITSU MICROELECTRONICS LIMITED
    Inventor: Sergey PIDIN
  • Publication number: 20090108460
    Abstract: A device, including a semiconductor chip having a plurality of first electrodes is disclosed. A plurality of second electrodes is arranged on a first surface of the semiconductor chip. A first electrically conductive layer is applied over a first section of the first surface and electrically coupled to the first electrodes arranged within the first section. A second electrically conductive layer is applied over the first electrically conductive layer and electrically coupled to the second electrodes arranged within the first section.
    Type: Application
    Filed: October 26, 2007
    Publication date: April 30, 2009
    Applicant: INFINEON TECHNOLOGIES AG
    Inventors: Ralf Otremba, Xaver Schloegel, Klaus Schiess, Tan Tien Lai
  • Publication number: 20090108258
    Abstract: A semiconductor device and a method for fabricating the same are disclosed, which are capable of improving the performance and the production yield of the device. The semiconductor device may include a semiconductor wafer having semiconductor chips thereon, a lower metal layer on the semiconductor wafer, a dielectric layer on the lower metal layer, upper conductive layers on the dielectric layer, separated into a plurality of pieces; and a passivation layer enclosing lateral sides of the pieces of the upper conductive layer. Accordingly, when dicing and separating the respective chips on the semiconductor wafer, the upper metal layer does not lift off the dielectric layer. Therefore, the performance and the production yield of the semiconductor device can be enhanced.
    Type: Application
    Filed: October 23, 2008
    Publication date: April 30, 2009
    Inventor: Hee Baeg AN
  • Publication number: 20090096107
    Abstract: In a semiconductor integrated circuit device, an element forming region and a metal wiring layer are covered with a passivation layer on a semiconductor substrate which is cut out in a rectangular shape. At four corners of the device, the passivation layer is provided with corner non-wiring regions formed directly on the semiconductor substrate. Thus, crack generation on the passivation layer due to heat stress can be suppressed.
    Type: Application
    Filed: June 13, 2006
    Publication date: April 16, 2009
    Applicant: Rohm Co., Ltd
    Inventors: Mitsuru Okazaki, Youichi Kajiwara, Naoki Takahashi, Akira Shimizu
  • Publication number: 20090096105
    Abstract: In a method of fabricating a thin film transistor array substrate for a liquid crystal display, a gate line assembly is formed on a substrate with a chrome-based under-layer and an aluminum alloy-based over-layer while proceeding in the horizontal direction. The gate line assembly has gate lines, and gate electrodes, and gate pads. A gate insulating layer is deposited onto the insulating substrate such that the gate insulating layer covers the gate line assembly. A semiconductor layer and an ohmic contact layer are sequentially formed on the gate insulating layer. A data line assembly is formed on the ohmic contact layer with a chrome-based under-layer and an aluminum alloy-based over-layer. The data line assembly has data lines crossing over the gate lines, source electrodes, drain electrodes, and data pads. A protective layer is deposited onto the substrate, and patterned to thereby form contact holes exposing the drain electrodes, the gate pads, and the data pads.
    Type: Application
    Filed: December 12, 2008
    Publication date: April 16, 2009
    Inventors: Seung-Taek LIM, Mun-Pyo Hong, Nam-Seok Roh, Young-Joo Song, Sang-Ki Kwak, Kwon-Young Choi, Keun-Kyu Song
  • Publication number: 20090091040
    Abstract: A semiconductor storage device includes a memory cell transistor and a selective transistor formed on a semiconductor substrate, a first interlayer insulating film which is formed on the semiconductor substrate, an insulating layer formed by use of a material higher in dielectric constant than the first interlayer insulating film, a contact plug which penetrates the insulating layer and the first interlayer insulating film and which is electrically connected to a drain of the selective transistor, and a bit line which is in contact with the contact plug. A partial region in the bottom surface of the bit line is located lower than the upper surface of the contact plug, and is in contact with the surface of the insulating layer, and the partial region is also in contact with the side surface of the contact plug.
    Type: Application
    Filed: August 27, 2008
    Publication date: April 9, 2009
    Inventors: Kanae UCHIDA, Masato Endo, Kazuyuki Higashi
  • Publication number: 20090085230
    Abstract: A semiconductor device and a layout method thereof are provided, each of which contributes to a reduction in layout area and appropriately adjusts an inter-wiring capacitance even where wiring widths and intervals in a plurality of wiring layers differ at a bus wiring comprised of the wiring layers. In the semiconductor device, a first functional block and a second functional block are connected to each other, and a plurality of wirings formed over their corresponding wiring layers are provided. The wiring layers have constant wiring widths and wiring intervals for every wiring layer. The number of wirings on each wiring layer is determined, at least in part, by multiplying (a) the total number of required wirings (for all wiring layers) by (b) a ratio of (i) a rate of wirings per unit length on the given layer versus (ii) the sum of the rates of wirings per unit length for each of the plurality of wiring layers.
    Type: Application
    Filed: September 15, 2008
    Publication date: April 2, 2009
    Inventor: Michino Fuse
  • Publication number: 20090085222
    Abstract: There are provided a plurality of semiconductor apparatuses judged as good items in electrical and functional inspections while having internal connection terminals disposed on electrode pads of semiconductor chips, resin layers which are disposed on surfaces of the semiconductor chips in which the electrode pads are formed and expose the internal connection terminals, and wiring patterns which are disposed on the resin layers and are connected to the internal connection terminals, a wiring substrate on which the plurality of semiconductor apparatuses are stepwise stacked, the wiring substrate electrically connected to the plurality of semiconductor apparatuses, and a sealing resin with which the plurality of semiconductor apparatuses are sealed.
    Type: Application
    Filed: September 26, 2008
    Publication date: April 2, 2009
    Applicant: SHINKO ELECTRIC INDUSTRIES CO., LTD.
    Inventor: Takaharu YAMANO
  • Publication number: 20090072411
    Abstract: One or more embodiments are related to a semiconductor structure, comprising: a semiconductor chip; a conductive layer comprising at least a first conductive pathway and a second conductive pathway spacedly disposed from the first conductive pathway, the first conductive pathway electrically coupled to the chip, at least a portion of the first conductive pathway disposed outside the lateral boundary of the chip, at least a portion of the second conductive pathway disposed outside the lateral boundary of the chip; and a conductive interconnect disposed outside the lateral boundary of the chip, the conductive interconnect electrically coupling the first conductive pathway to the second conductive pathway.
    Type: Application
    Filed: September 14, 2007
    Publication date: March 19, 2009
    Applicant: INFINEON TECHNOLOGIES AG
    Inventors: Helmut Tews, Hans-Gerd Jetten, Hans-Joachim Barth
  • Patent number: 7504724
    Abstract: A semiconductor device comprises: a plurality of first wiring lines formed in a first layer with a first wiring width and a first wiring space; a plurality of second wiring lines formed in a second layer different from the above-described first layer with a second wiring width and a second wiring space larger than the above-described first wiring width and first wiring space; and a contact plug connecting the first wiring line and second wiring line. The above-described contact plug is formed over a plurality of adjacent ones of the above-described first wiring lines and has a pattern connecting the plurality of adjacent ones of the above-described first wiring lines and one of the above-described second wiring lines.
    Type: Grant
    Filed: January 11, 2006
    Date of Patent: March 17, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Takuya Futatsuyama
  • Publication number: 20090065946
    Abstract: A method of fabricating a semiconductor device having an air-gapped multilayer interconnect wiring structure is disclosed. After having formed a first thin film on or above a substrate, define a first opening in the first thin film. Then, deposit a conductive material in the first opening. Then form a second thin film made of a porous material above the first thin film with the conductive material being deposited in the first opening. Next, define in the second thin film a second opening extending therethrough, followed by deposition of a conductive material in the second opening. The first thin film is removed through voids in the second thin film after having deposited the conductive material in the second opening. An integrated semiconductor device as manufactured thereby is also disclosed.
    Type: Application
    Filed: September 17, 2008
    Publication date: March 12, 2009
    Applicant: Kabushiki Kaisha Toshiba
    Inventor: Akihiro Kojima
  • Publication number: 20090057904
    Abstract: A Cu line in a semiconductor device and method of forming same are disclosed. The method may include forming an insulating interlayer on a semiconductor substrate, forming a contact hole and a trench in the insulating interlayer in sequence, forming a short-circuit preventing layer on the insulating interlayer including the contact hole and the trench, forming a spacer on a sidewall of the trench by etching the short-circuit preventing layer, forming a Cu line layer over the semiconductor substrate including the contact hole and the trench, planarizing the Cu line layer by CMP, and forming a Cu-diffusion preventing capping layer over the semiconductor substrate including the Cu line layer.
    Type: Application
    Filed: August 20, 2008
    Publication date: March 5, 2009
    Applicant: DONGBU HITEK CO., LTD.
    Inventor: Yong Geun LEE
  • Publication number: 20090032955
    Abstract: A semiconductor device including n, where notation n denotes a positive integer at least equal to three, conductive layers created as stacked layers on a substrate and connected to each other through a contact pattern, a manufacturing method thereof and a display apparatus thereof are provided.
    Type: Application
    Filed: July 31, 2008
    Publication date: February 5, 2009
    Applicants: SONY CORPORATION, SONY MOBILE DISPLAY CORPORATION
    Inventors: Tsutomu Tanaka, Yasuhiro Yamada, Hirohisa Takeda
  • Publication number: 20090026573
    Abstract: A nonvolatile semiconductor memory device and a method for manufacturing the same that may include forming an isolation pattern in a substrate, and then etching a portion of the isolation pattern to expose a portion of an active region of the substrate, and then forming high-density second-type ion implantation regions spaced apart at both edges of the active region by performing a tilted ion implantation process, and then forming a high-density first-type ion implantation region as a bit line in the active region, and then forming an insulating layer on the substrate including the high-density first-type ion implantation region, the high-density second-type ion implantation regions and the isolation pattern, and then forming a metal interconnection as a word line on the insulating layer pattern and extending in a direction perpendicular to bit line.
    Type: Application
    Filed: July 2, 2008
    Publication date: January 29, 2009
    Inventor: Yong-Ho Oh
  • Publication number: 20090020879
    Abstract: A wiring structure in a semiconductor device includes a first insulation layer formed on a substrate having first and second contact regions, and first and second pads extending through the first insulation layer and contacting the first and the second contact regions. The first and the second pads are higher than the first insulation layer. A blocking layer pattern is formed on the first insulation layer between the first and the second pads, the blocking layer pattern being higher than the first and the second pads. A second insulation layer is formed on the blocking layer pattern and the first and the second pads. A bit line structure is formed on the second insulation layer, the bit line structure electrically contacting the second pad. A third insulation layer is formed on the second insulation layer and the bit line structure. A plug extends through the second and the third insulation layers and contacts the first pad.
    Type: Application
    Filed: July 9, 2008
    Publication date: January 22, 2009
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Ji-Yoon LEE, Hyuck-Chai JUNG
  • Patent number: 7479699
    Abstract: Techniques for an integrated circuit device are provided. The integrated circuit device includes a semiconductor substrate, an integrated circuit, a dielectric layer, and a sealing structure. The sealing structure surrounds the integrated circuit and is disposed within the dielectric layer to prevent damage to the integrated circuit. The sealing structure includes a plurality of metal traces organized in vertical layers and a plurality of vias. Each via of the plurality of vias couples at least two metal traces of the plurality of metal traces from adjacent vertical layers. Each via of the plurality of vias contacts at least two orthogonal surfaces of a lower metal trace of the at least two metal traces. The plurality of metal traces and plurality of vias form a continuous boundary.
    Type: Grant
    Filed: December 15, 2006
    Date of Patent: January 20, 2009
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventor: Xian J. Ning
  • Publication number: 20090008788
    Abstract: A method of forming a semiconductor device. A first wiring level is formed on a top surface of a substrate. The first wiring level includes alternating layers of a first dielectric material and a second dielectric material. The layers of the first dielectric material includes at least two layers of the first dielectric material. The layers of the second dielectric material includes at least two layers of the second dielectric material. The first dielectric material includes an organic dielectric material. The second dielectric material includes an inorganic dielectric material. The substrate includes one or more dielectric materials. A first layer of the layers of the first dielectric material includes the organic dielectric material being in direct mechanical contact with the substrate. The layers of the first dielectric material and the layers of the second dielectric material are a same number of layers.
    Type: Application
    Filed: August 29, 2008
    Publication date: January 8, 2009
    Inventor: Anthony K. Stamper
  • Publication number: 20080318419
    Abstract: Structures and methods for the dissipation of charge build-up during the formation of cavities in semiconductor substrates.
    Type: Application
    Filed: June 20, 2007
    Publication date: December 25, 2008
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Brian Griffin, Russ Benson
  • Publication number: 20080315428
    Abstract: The present invention discloses a display device and a manufacturing method thereof by which a manufacturing process can be simplified. Further, the present invention discloses technique for manufacturing a pattern such as a wiring into a desired shape with good controllability. A method for forming a pattern for constituting the display device according to the present invention comprises the steps of forming a first region and a second region; discharging a composition containing a pattern formation material to a region across the second region and the first region; and flowing a part of the composition discharged to the first region into the second region; wherein wettability with respect to the composition of the first region is lower than that of the second composition.
    Type: Application
    Filed: February 15, 2005
    Publication date: December 25, 2008
    Applicant: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Gen Fujii
  • Publication number: 20080303141
    Abstract: The present invention provides a method for etching a substrate, a method for forming an integrated circuit, an integrated circuit formed using the method, and an integrated circuit. The method for etching a substrate includes, among other steps, providing a substrate 140 having an aluminum oxide etch stop layer 130 located thereunder, and then etching an opening 150, 155, in the substrate 140 using an etchant comprising carbon oxide, a fluorocarbon, an etch rate modulator, and an inert carrier gas, wherein a flow rate of the carbon oxide is greater than about 80 sccm and the etchant is selective to the aluminum oxide etch stop layer 130. The aluminum oxide etch stop layer may also be used in the back-end of advanced CMOS processes as a via etch stop layer.
    Type: Application
    Filed: June 12, 2008
    Publication date: December 11, 2008
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: K.R. Udayakumar, Ted S. Moise, Scott R. Summerfelt, Martin G. Albrecht, William W. Dostalik, JR., Francis G. Celii
  • Publication number: 20080284022
    Abstract: A semiconductor device (10) comprises a substrate (11), a semiconductor layer (12), an insulation film (13), a protective film (15), a source electrode (21), a drain electrode (22), a gate electrode (23). The semiconductor device (10) comprises a protective film (15) formed so as to cover at least an upper surface of the insulation film (13). This enables preventing aluminum contained in the source electrode (21) and the drain electrode (22) from reacting with material contained in the insulation film (13). Accordingly, the increase of the resistance of the electrode and the increase of current collapse are prevented. Accordingly, the semiconductor device (10) has a satisfactory electric performance characteristics.
    Type: Application
    Filed: December 10, 2007
    Publication date: November 20, 2008
    Applicant: Sanken Electric Co., Ltd.
    Inventor: Toshihiro Ehara
  • Publication number: 20080284026
    Abstract: A semiconductor device comprises a first insulating film formed on a semiconductor substrate, a first metal pattern formed on the first insulating film, a second insulating film formed on the first metal pattern, a second metal pattern formed on the second insulating film, and a third metal pattern formed in the second insulating film and connecting between the first metal pattern and the second metal pattern. The third metal pattern is a single continuous structure, and the principal orientation axes of crystals of a metal constituting the third metal pattern are parallel to the principal surface of the semiconductor substrate.
    Type: Application
    Filed: November 14, 2007
    Publication date: November 20, 2008
    Applicant: MATSUSHITA ELECTRIC INDUSTRIAL CO., LTD.
    Inventors: Shin Hashimoto, Tadaaki Mimura
  • Patent number: 7446424
    Abstract: A semiconductor device includes a semiconductor substrate having top and bottom surfaces, the top surface having at least one device region thereon. At least one trench opening is formed through the substrate from the bottom surface and connecting to the device region. A layer of conductive material is deposited in the at least one trench opening and partially fills the trench opening. A layer of conductive adhesive is deposited over the layer of conductive material and fills a remaining portion of the trench opening.
    Type: Grant
    Filed: July 19, 2006
    Date of Patent: November 4, 2008
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Szu Wei Lu, Jerry Tzou
  • Publication number: 20080258311
    Abstract: A semiconductor device includes a first wiring layer, a second wiring layer and a third wiring layer. The first wiring layer is formed on a semiconductor substrate. The second and the third wiring layer wiring layers are arranged in a direction intersecting with the first wiring layer on respective sides of the wiring layer. An air bridge wiring intersects the second and third wiring layers sandwiching an air layer above the first wiring layer therewith. The overall shape of the air bridge wiring has an upward convex curvature in an arch shape and the transverse sectional shape of the air bridge wiring is in the form of a downward concave curvature.
    Type: Application
    Filed: April 22, 2008
    Publication date: October 23, 2008
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Takashi ASANO
  • Publication number: 20080251933
    Abstract: A metal interconnect structure includes a plurality of first plugs adjacent to each other, a first metal line extending in a first direction and contacting each first plug to form a first section with a tapered second section in between, and a second plug adjacent to the second section, both in a second direction normal to the first direction.
    Type: Application
    Filed: August 27, 2007
    Publication date: October 16, 2008
    Inventors: Kuo-Yao Cho, Wen-Bin Wu, Chiang-Lin Shih, Chia-Cheng Lin
  • Publication number: 20080246155
    Abstract: A semiconductor device according to an embodiment includes: a semiconductor substrate having a semiconductor element formed on a surface thereof; an interwiring insulating film formed above the semiconductor substrate; a wiring formed in the interwiring insulating film; a first intervia insulating film formed under the interwiring insulating film; a first via formed in the first intervia insulating film and connected to a lower surface of the wiring; a second intervia insulating film formed on the interwiring insulating film; a second via formed in the second intervia insulating film and connected to an upper surface of the wiring; and a CuSiN film formed in at least one of a position between the interwiring insulating film and the first intervia insulating film, and a position between the interwiring insulating film and the second intervia insulating film.
    Type: Application
    Filed: March 31, 2008
    Publication date: October 9, 2008
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Yumi Hayashi, Tadayoshi Watanabe, Takamasa Usui
  • Publication number: 20080246161
    Abstract: A damascene approach may be utilized to form an electrode to a lower conductive line in a phase change memory. The phase change memory may be formed of a plurality of isolated memory cells, each including a phase change memory threshold switch and a phase change memory storage element.
    Type: Application
    Filed: June 6, 2008
    Publication date: October 9, 2008
    Inventor: Charles H. Dennison
  • Publication number: 20080239792
    Abstract: A local interconnect is formed with a gate conductor line that has an exposed sidewall on an active area of a semiconductor substrate. The exposes sidewall comprises a silicon containing material that may form a silicide alloy upon silicidation. During a silicidation process, a gate conductor sidewall silicide alloy forms on the exposed sidewall of the gate conductor line and an active area silicide is formed on the active area. The two silicides are joined to provide an electrical connection between the active area and the gate conductor line. Multiple sidewalls may be exposed on the gate conductor line to make multiple connections to different active area silicides.
    Type: Application
    Filed: March 29, 2007
    Publication date: October 2, 2008
    Applicant: International Business Machines Corporation
    Inventors: Clement H. Wann, Haining S. Yang
  • Publication number: 20080211108
    Abstract: For simplifying the dual-damascene formation steps of a multilevel Cu interconnect, a formation step of an antireflective film below a photoresist film is omitted. Described specifically, an interlayer insulating film is dry etched with a photoresist film formed thereover as a mask, and interconnect trenches are formed by terminating etching at the surface of a stopper film formed in the interlayer insulating film. The stopper film is made of an SiCN film having a low optical reflectance, thereby causing it to serve as an antireflective film when the photoresist film is exposed.
    Type: Application
    Filed: February 14, 2008
    Publication date: September 4, 2008
    Inventors: Katsuhiko Hotta, Kyoko Sasahara
  • Patent number: 7411259
    Abstract: An object of the present invention is to realize a semiconductor device having a high TFT characteristic. In manufacturing an active matrix display device, electric resistivity of the electrode material is kept low by preventing penetration of oxygen ion into the electrode in doping of an impurity ion. A display device having a low electric resistivity can be obtained.
    Type: Grant
    Filed: February 26, 2007
    Date of Patent: August 12, 2008
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Toru Takayama
  • Patent number: 7405485
    Abstract: A semiconductor device provided with a first semiconductor chip having a first functional surface formed with a first functional element and a first rear surface, a second semiconductor chip having a second functional surface which is formed with a second functional element, the second functional surface having a region opposed to the first functional surface of the first semiconductor chip and a non-opposed region defined outside the opposed region, a connection member electrically connecting the first functional element and the second functional element, an insulation film continuously covering the non-opposed region of the second semiconductor chip and the first rear surface of the first semiconductor chip, a rewiring layer provided on a surface of the insulation film, a protective resin layer covering the rewiring layer, and an external connection terminal projecting from the rewiring layer through the protective resin layer.
    Type: Grant
    Filed: June 9, 2005
    Date of Patent: July 29, 2008
    Assignee: Rohm Co., Ltd.
    Inventors: Kazumasa Tanida, Tadahiro Morifuji, Osamu Miyata
  • Patent number: 7396762
    Abstract: Interconnect structures that include a conformal liner repair layer bridging breaches in a liner formed on roughened dielectric material in an insulating layer and methods of forming such interconnect structures. The conformal liner repair layer is formed of a conductive material, such as a cobalt-containing material. The conformal liner repair layer may be particularly useful for repairing discontinuities in a conductive liner disposed on roughened dielectric material bordering the trenches and vias of damascene interconnect structures.
    Type: Grant
    Filed: August 30, 2006
    Date of Patent: July 8, 2008
    Assignee: International Business Machines Corporation
    Inventors: Louis Lu-Chen Hsu, Jack Allan Mandelman, William Robert Tonti, Chih-Chao Yang
  • Publication number: 20080157364
    Abstract: A display substrate having a fan-out and a method for manufacturing the display substrate are disclosed. The fan-out includes an insulating substrate, a first line, a second line, a resistance control pattern, and first and second detour pattern. The first line is disposed on the insulating substrate and is connected to a pad. The second line is formed from the same layer as the first line and is connected to a thin-film transistor (TFT). The resistance control pattern is formed from a different layer than the first and second lines. The first and second detour patterns are formed from a different layer than the first and second lines and the resistance control pattern, and connect the first and second lines with the resistance control pattern, respectively.
    Type: Application
    Filed: October 25, 2007
    Publication date: July 3, 2008
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Sung-Hoon YANG, So-Woon KIM, Chong-Chul CHAI, Joo-Ae YOUN, Kyoung-Ju SHIN, Yeon-Ju KIM, Soo-Wan YOON
  • Publication number: 20080144366
    Abstract: A dual-bit memory device is provided which includes trench isolation material disposed near bit line contact areas. For example, in one implementation a semiconductor memory device is provided in which each memory cell can store two bits of information. The memory device comprises a substrate, first and second buried bit lines in the substrate, a first bit line contact on the first buried bit line, a second bit line contact on the second buried bit line, and an insulator region disposed in the substrate between the first buried bit line and the second buried bit line. The insulator region prevents a current from flowing between the first buried bit line and the second buried bit line.
    Type: Application
    Filed: December 18, 2006
    Publication date: June 19, 2008
    Inventor: Wei Zheng
  • Publication number: 20080136038
    Abstract: A backside contact pad is formed in an integrated circuit, possibly designed initially with just top side contact pads (150C), by forming an opening (220) through a top side contact pad (150C) and the semiconductor substrate (110). Conductive material (520, 540, 1110, 1130) is formed in the opening and in contact with the top side pad. The conductive material also provides a backside contact pad (1310). Other embodiments are also provided.
    Type: Application
    Filed: December 6, 2006
    Publication date: June 12, 2008
    Inventors: Sergey Savastiouk, Valentin Kosenko, James J. Roman
  • Patent number: 7378340
    Abstract: The present invention provides a method of manufacturing a semiconductor device and a semiconductor device that allow use of interlayer and interconnect insulating films having a low dielectric constant in forming a dual damascene structure. A first insulating film, a second insulating film, a first-mask forming layer, a second-mask forming layer, a third-mask forming layer, and a fourth-mask forming layer are sequentially deposited over a substrate. The fourth-mask forming layer is patterned to form a fourth mask having an interconnect trench pattern. After a resist mask is formed on the fourth mask, the layers to the second insulating film are etched to open via holes. The third-mask forming layer is etched through the fourth mask to thereby form a third mask having the interconnect trench pattern and to extend the via holes downward partway across the first insulating film.
    Type: Grant
    Filed: February 3, 2006
    Date of Patent: May 27, 2008
    Assignee: Sony Corporation
    Inventors: Yoshiyuki Enomoto, Hiroyuki Kawashima, Masaki Okamoto