Si Compounds (e.g., Sic) (epo) Patents (Class 257/E29.104)
  • Publication number: 20110095303
    Abstract: A semiconductor device includes a semiconductor substrate, a cell region, an outer peripheral region, a field plate, an outermost peripheral ring, outer peripheral region layer, an insulator film, and a Zener diode. The semiconductor substrate has a superjunction structure. The outer peripheral region is disposed at an outer periphery of the cell region. The Zener diode is disposed on the insulator film for electrically connecting the field plate with the outermost peripheral ring. The Zener diode has a first conductivity type region and a second conductivity type region that are alternately arranged in a direction from the cell region to the outer peripheral region.
    Type: Application
    Filed: December 29, 2010
    Publication date: April 28, 2011
    Applicant: DENSO CORPORATION
    Inventor: Takeshi MIYAJIMA
  • Publication number: 20110095304
    Abstract: An embodiment of a process for forming an interface between a silicon carbide (SiC) layer and a silicon oxide (SiO2) layer of a structure designed to conduct current is disclosed. A first epitaxial layer having a first doping level is homo-epitaxially grown on a substrate. The homo-epitaxial growth is preceded by growing, on the first epitaxial layer, a second epitaxial layer having a second doping level higher than the first doping level. Finally, the second epitaxial layer is oxidized so as to be totally removed. Thereby, a silicon oxide layer of high quality is formed, and the interface between the second epitaxial layer and silicon oxide has a low trap density.
    Type: Application
    Filed: January 5, 2011
    Publication date: April 28, 2011
    Applicant: STMicroelectronics S.r.l.
    Inventors: Giovanni ABAGNALE, Dario Salinas, Sebastiano Ravesi
  • Publication number: 20110095301
    Abstract: There was a problem that it was difficult to manufacture silicon carbide semiconductor devices with suppressed variations in characteristics without increasing the number of process steps. A silicon carbide semiconductor device according to the present invention includes an N type SiC substrate and an N type SiC epitaxial layer as a silicon carbide semiconductor substrate of a first conductivity type, a plurality of recesses intermittently formed in a surface of the N type SiC epitaxial layer, P type regions as second-conductivity-type semiconductor layers formed in the N type SiC epitaxial layer in the bottoms of the plurality of recesses, and a Schottky electrode selectively formed over the surface of the N type SiC epitaxial layer, wherein the plurality of recesses all have an equal depth.
    Type: Application
    Filed: June 22, 2010
    Publication date: April 28, 2011
    Applicant: MITSUBISHI ELECTRIC CORPORATION
    Inventor: Yoichiro TARUI
  • Publication number: 20110095302
    Abstract: An object is to provide a semiconductor device and its manufacturing method in which delay in switching and non-uniform operations are prevented and in which stresses occurring in trench regions are alleviated as much as possible. A gate electrode in a gate trench is formed of a polysilicon layer and a gate tungsten layer that is lower resistant than the polysilicon layer. Also, a source electrode is formed of source tungsten layers buried in source trenches and an AlSi layer in contact with the source tungsten layers and covering source layers and the gate electrodes with a thick insulating film interposed therebetween.
    Type: Application
    Filed: June 29, 2010
    Publication date: April 28, 2011
    Applicant: MITSUBISHI ELECTRIC CORPORATION
    Inventor: Toshiaki HIKICHI
  • Publication number: 20110089431
    Abstract: A method for producing a compound single crystal includes a process (I) of growing the compound single crystal while causing an anti-phase boundary and a stacking fault to equivalently occur in a <110> direction parallel to the surface, the stacking fault being attributable to the elements A and B; a process (II) of merging and annihilating the stacking fault, attributable to the element A, and the anti-phase boundary, which occurs in the process (I); a process (III) of vanishing the stacking fault attributable to the element B, which occurs in the process (I); and a process (IV) of completely merging and annihilating the anti-phase boundary. The process (IV) is carried out simultaneously with the processes (II) and (III) or after the processes (II) and (III).
    Type: Application
    Filed: October 15, 2010
    Publication date: April 21, 2011
    Applicant: HOYA CORPORATION
    Inventors: Kuniaki YAGI, Takahisa SUZUKI, Yasutaka YANAGISAWA, Masao HIROSE, Noriko SATO, Junya KOIZUMI, Hiroyuki NAGASAWA
  • Publication number: 20110089433
    Abstract: In order to provide a method for manufacturing a single crystal SiC substrate that can obtain an SiC layer with good crystallinity, an Si substrate 1 having a surface Si layer 3 of a predetermined thickness and an embedded insulating layer 4 is prepared, and when the Si substrate 1 is heated in a carbon-series gas atmosphere to convert the surface Si layer 3 into a single crystal SiC layer 6, surface Si layer 3 into a single crystal SIC layer 6, the Si layer in the vicinity of an interface 8 with the embedded insulating layer 4 is left as a residual Si layer 5.
    Type: Application
    Filed: June 9, 2009
    Publication date: April 21, 2011
    Inventors: Keisuke Kawamura, Katsutoshi Izumi, Hidetoshi Asamura, Takashi Yokoyama
  • Patent number: 7928474
    Abstract: A semiconductor structure is provided. The semiconductor structure includes a semiconductor substrate; an insulating region extending from substantially a top surface of the semiconductor substrate into the semiconductor substrate; an embedded dielectric spacer adjacent the insulating region, wherein a bottom of the embedded dielectric spacer adjoins the semiconductor substrate; and a semiconductor material adjoining a top edge and extending on a sidewall of the embedded dielectric spacer.
    Type: Grant
    Filed: August 15, 2007
    Date of Patent: April 19, 2011
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.,
    Inventors: Hong-Nien Lin, Chih-Hsin Ko, Wen-Chin Lee
  • Publication number: 20110084284
    Abstract: A transistor may include a semiconductor drift layer of a first semiconductor material and a semiconductor channel layer on the semiconductor drift layer. The semiconductor channel layer may include a second semiconductor material different than the first semiconductor material. A semiconductor interconnection layer may be electrically coupled between the semiconductor drift layer and the semiconductor channel layer, and the semiconductor interconnection layer may include a third semiconductor material different than the first and second semiconductor materials. In addition, a control electrode may be provided on the semiconductor channel layer.
    Type: Application
    Filed: October 13, 2009
    Publication date: April 14, 2011
    Inventors: Qingchun Zhang, Sei-Hyung Ryu, Anant K. Agarwal, Sarit Dhar
  • Publication number: 20110079793
    Abstract: A semiconductor substrate includes: a substrate having a single crystal silicon on at least one surface thereof; a buffer layer that is provided on the single crystal silicon and has at least one cobalt silicide layer primarily containing cobalt silicide; and a silicon carbide single crystal film provided on the buffer layer.
    Type: Application
    Filed: September 21, 2010
    Publication date: April 7, 2011
    Applicant: SEIKO EPSON CORPORATION
    Inventor: Hiroyuki SHIMADA
  • Publication number: 20110073873
    Abstract: A compound semiconductor device includes: a conductive SiC substrate; an AlN buffer layer formed on said conductive SiC substrate and containing Cl; a compound semiconductor buffer layer formed on said AlN layer which contains Cl, said compound semiconductor buffer layer not containing Cl; and a device constituent layer or layers formed above said compound semiconductor buffer layer not containing Cl.
    Type: Application
    Filed: September 24, 2010
    Publication date: March 31, 2011
    Applicant: FUJITSU LIMITED
    Inventors: Toshihide Kikkawa, Kenji Imanishi
  • Publication number: 20110068353
    Abstract: A semiconductor device (A1) includes a first n-type semiconductor layer (11), a second n-type semiconductor layer (12), a p-type semiconductor layer (13), a trench (3), an insulating layer (5), a gate electrode (41), and an n-type semiconductor region (14). The p-type semiconductor layer (13) includes a channel region that is along the trench (3) and in contact with the second n-type semiconductor layer (12) and the n-type semiconductor region (14). The size of the channel region in the depth direction x is 0.1 to 0.5 ?m. The channel region includes a high-concentration region where the peak impurity concentration is approximately 1×1018 cm?3. The semiconductor device A1 thus configured allows achieving desirable values of on-resistance, dielectric withstand voltage and threshold voltage.
    Type: Application
    Filed: May 20, 2009
    Publication date: March 24, 2011
    Applicant: ROHM CO., LTD.
    Inventor: Yuki Nakano
  • Publication number: 20110062450
    Abstract: A silicon carbide semiconductor device comprising a region of germanium and a region of crystalline or polycrystalline silicon carbide. The germanium region and the silicon carbide region are configured to form a germanium/silicon carbide heterojunction.
    Type: Application
    Filed: September 15, 2009
    Publication date: March 17, 2011
    Inventors: Peter Michael Gammon, Phil Mawby, Amador Pérez-Tomás
  • Publication number: 20110064105
    Abstract: A high power, wide-bandgap device is disclosed that exhibits reduced junction temperature and higher power density during operation and improved reliability at a rated power density. The device includes a diamond substrate for providing a heat sink with a thermal conductivity greater than silicon carbide, a single crystal silicon carbide layer on the diamond substrate for providing a supporting crystal lattice match for wide-bandgap material structures that is better than the crystal lattice match of diamond, and a Group III nitride heterostructure on the single crystal silicon carbide layer for providing device characteristics.
    Type: Application
    Filed: November 23, 2010
    Publication date: March 17, 2011
    Applicant: CREE, INC.
    Inventor: Adam William Saxler
  • Patent number: 7902555
    Abstract: A hetero semiconductor corner region, which is a current-concentration relief region that keeps a reverse bias current from concentrating on the convex corner, is arranged in a hetero semiconductor region. Thereby, a current concentration on the convex corner can be prevented. As a result, an interrupting performance can be improved at the time of interruption, and at the same time, the generation of the hot spot where in a specific portion is prevented at the time of conduction to suppress deterioration in a specific portion, thereby ensuring a long-term reliability.
    Type: Grant
    Filed: December 1, 2008
    Date of Patent: March 8, 2011
    Assignee: Nissan Motor Co., Ltd.
    Inventors: Tetsuya Hayashi, Masakatsu Hoshi, Hideaki Tanaka, Shigeharu Yamagami
  • Publication number: 20110049533
    Abstract: A method of fabricating a semiconductor device is disclosed that is able to suppress a short channel effect and improve carrier mobility. In the method, trenches are formed in a silicon substrate corresponding to a source region and a drain region. When epitaxially growing p-type semiconductor mixed crystal layers to fill up the trenches, the surfaces of the trenches are demarcated by facets, and extended portions of the semiconductor mixed crystal layers are formed between bottom surfaces of second side wall insulating films and a surface of the silicon substrate, and extended portion are in contact with a source extension region and a drain extension region.
    Type: Application
    Filed: November 1, 2010
    Publication date: March 3, 2011
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventors: Yosuke Shimamune, Hiroyuki Ohta, Akiyoshi Hatada, Akira Katakami, Naoyoshi Tamura
  • Publication number: 20110049532
    Abstract: A dual-mesa static induction transistor (SIT) structure includes a silicon carbide substrate having a layer arrangement formed thereon. Laterally spaced ion implanted gate regions are defined in the layer arrangement. Source regions are defined in the layer arrangement. Each of the source regions can include a channel mesa having a source mesa disposed thereon. The source mesa includes sidewalls relative to a principal plane of the substrate defining a horizontal dimension thereof. The channel mesa includes slanted sidewalls relative to the source mesa and the principal plane of the substrate. Also disclosed is a method of fabricating a dual-mesa SiC transistor device. The method includes implanting ions at a normal relative to a principal plane of the substrate to form gate junctions in upper portions of the substrate and lateral portions of the slanted channel mesas.
    Type: Application
    Filed: August 27, 2010
    Publication date: March 3, 2011
    Applicant: MICROSEMI CORPORATION
    Inventors: Bruce Odekirk, Francis K. Chai, Edward W. Maxwell
  • Publication number: 20110049535
    Abstract: A semiconductor apparatus includes a first stacked body including a first radiator plate, a first insulating layer, a first conductive layer and a first semiconductor element in this order; a second stacked body including a second radiator plate, a second insulating layer, a second conductive layer and a second semiconductor element in this order and configured to be made of a semiconductor material different from that of the first semiconductor element; and a connecting part configured to electrically connect the first conductive layer and the second conductive layer, wherein the first stacked body and the second stacked body are thermally insulated.
    Type: Application
    Filed: April 30, 2009
    Publication date: March 3, 2011
    Applicant: TOYOTA JIDOSHA KABUSHIKI KAISHA
    Inventor: Akitaka Soeno
  • Publication number: 20110042687
    Abstract: A semiconductor-carbon alloy layer is formed on the surface of a semiconductor substrate, which may be a commercially available semiconductor substrate such as a silicon substrate. The semiconductor-carbon alloy layer is converted into at least one graphene layer during a high temperature anneal, during which the semiconductor material on the surface of the semiconductor-carbon alloy layer is evaporated selective to the carbon atoms. As the semiconductor atoms are selectively removed and the carbon concentration on the surface of the semiconductor-carbon alloy layer increases, the remaining carbon atoms in the top layers of the semiconductor-carbon alloy layer coalesce to form a graphene layer having at least one graphene monolayer. Thus, a graphene layer may be provided on a commercially available semiconductor substrate having a diameter of 200 mm or 300 mm.
    Type: Application
    Filed: August 24, 2009
    Publication date: February 24, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Jack O. Chu, Christos D. Dimitrakopoulos, Alfred Grill, Chun-yung Sung
  • Publication number: 20110042686
    Abstract: Embodiments of the invention relate generally to semiconductors and semiconductor fabrication techniques, and more particularly, to devices, integrated circuits, substrates, and methods to form silicon carbide structures, including doped epitaxial layers (e.g., P-doped silicon carbide epitaxial layers), by supplying sources of silicon and carbon with sequential emphasis. In some embodiments, a method of forming an epitaxial layer of silicon carbide can include depositing a layer in the presence of a silicon source, and purging gaseous materials subsequent to depositing the layer. Further, the method can include converting the layer into a sub-layer of silicon carbide in the presence of a carbon source and a dopant, and purging other gaseous materials. In some embodiments, the presence of the silicon source can be independent of the presence of the carbon source and/or the dopant.
    Type: Application
    Filed: August 18, 2009
    Publication date: February 24, 2011
    Applicant: Qs Semiconductor Australia Pty Ltd.
    Inventors: Jisheng Han, Sima Dimitrijev, Li Wang, Philip Tanner, Leonie Hold, Alan Iacopi, Fred Kong, Herbert Barry Harrison
  • Publication number: 20110042685
    Abstract: Embodiments of the invention relate generally to semiconductors and semiconductor fabrication techniques, and more particularly, to devices, integrated circuits, substrates, and methods to form silicon carbide structures, including epitaxial layers, by supplying sources of silicon and carbon with sequential emphasis. In at least some embodiments, a method of forming an epitaxial layer of silicon carbide can include depositing a layer on a substrate in the presence of a silicon source, and purging gaseous materials subsequent to depositing the layer. Further, the method can include converting the layer into a sub-layer of silicon carbide in the presence of a carbon source, and purging other gaseous materials subsequent to converting the layer. The presence of the silicon source can be independent of the presence of the carbon source. In some embodiments, dopants, such as n-type dopants, can be introduced during the formation of the epitaxial layer of silicon carbide.
    Type: Application
    Filed: August 18, 2009
    Publication date: February 24, 2011
    Applicant: Qs Semiconductor Australia Pty Ltd
    Inventors: Li Wang, Sima Dimitrijev, Alan Iacopi, Jisheng Han, Leonie Hold, Philip Tanner, Fred Kong, Herbert Harrison
  • Publication number: 20110031506
    Abstract: A MOSFET capable of achieving decrease in the number of steps in a manufacturing process and improvement in integration includes an SiC wafer composed of silicon carbide and a source contact electrode arranged in contact with the SiC wafer and containing titanium, aluminum, silicon, and carbon as well as a remaining inevitable impurity. The SiC wafer includes an n+ source region having an n conductivity type and a p+ region having a p conductivity type. Both of the n+ source region and the p+ region are in contact with the source contact electrode. The source contact electrode contains aluminum and titanium in a region including an interface with the SiC wafer.
    Type: Application
    Filed: April 13, 2009
    Publication date: February 10, 2011
    Applicant: SUMITOMO ELECTRIC INDUSTRIES, LTD.
    Inventor: Hideto Tamaso
  • Publication number: 20110031504
    Abstract: An apparatus and method is disclosed for increasing the thermal conductivity in a substrate of a non-wide bandgap material comprising the steps of directing a thermal energy beam onto the substrate in the presence of a first doping gas for converting a region of the substrate into a wide bandgap material to enhance the thermal conductivity of the substrate for cooling the non-wide bandgap material. In one example, the invention is incorporated into a carbon rich layer formed within the wide bandgap material. In another example, the invention is incorporated into a carbon rich layer formed within the wide bandgap material having basal planes disposed to extend generally outwardly relative to an external surface of the substrate to enhance the cooling of the substrate.
    Type: Application
    Filed: October 8, 2010
    Publication date: February 10, 2011
    Inventors: Nathaniel R. Quick, Aravinda Kar
  • Publication number: 20110031502
    Abstract: Light emitting diodes include a silicon carbide substrate having first and second opposing faces, a diode region on the first face, anode and cathode contacts on the diode region opposite the silicon carbide substrate and a hybrid reflector on the silicon carbide substrate opposite the diode region. The hybrid reflector includes a transparent layer having an index of refraction that is lower than the silicon carbide substrate, and a reflective layer on the transparent layer, opposite the substrate. A die attach layer may be provided on the hybrid reflector, opposite the silicon carbide substrate. A barrier layer may be provided between the hybrid reflector and the die attach layer.
    Type: Application
    Filed: August 10, 2009
    Publication date: February 10, 2011
    Inventors: Michael John Bergmann, Kevin Ward Haberern, Bradley E. Williams, Winston T. Parker, Arthur Fong-Yuen Pun, Doowon Suh, Matthew Donofrio
  • Publication number: 20110031507
    Abstract: A MOSFET representing a semiconductor device capable of achieving decrease in the number of steps in a manufacturing process and improvement in integration by including an electrode that can be in contact with any of a p-type SiC region and an n-type SiC region with contact resistance being sufficiently suppressed includes an n+ SiC substrate, an n? SiC layer formed on the n+ SiC substrate, and a source electrode arranged in contact with the n? SiC layer. The n? SiC layer includes an n+ source region having an n conductivity type. The source electrode includes a source contact electrode arranged in contact with the n+ source region and containing Ti, Al and Si.
    Type: Application
    Filed: April 9, 2009
    Publication date: February 10, 2011
    Applicant: SUMITOMO ELECTRIC INDUSTRIES, LTD.
    Inventor: Hideto Tamaso
  • Publication number: 20110031505
    Abstract: A silicon carbide semiconductor device having an active layer with reduced defect density which is formed on a substrate made of silicon carbide, and a method of manufacturing the same are provided. A semiconductor device includes a substrate made of silicon carbide and having an off angle of not less than 50° and not more than 65° with respect to a plane orientation; a buffer layer, and an epitaxial layer, a p-type layer and an n+ region each serving as an active layer. The buffer layer is made of silicon carbide and formed on the substrate. The active layer is made of silicon carbide and formed on the buffer layer. The micropipe density is lower in the active layer than in the substrate. The density of dislocations in which the direction of a Burgers vector corresponds to is higher in the active layer than in the substrate.
    Type: Application
    Filed: February 3, 2009
    Publication date: February 10, 2011
    Applicant: SUMITOMO ELECTRIC INDUSTRIES, LTD.
    Inventors: Shin Harada, Masato Tsumori
  • Patent number: 7884354
    Abstract: Germanium on insulator (GOI) semiconductor substrates are generally described. In one example, a GOI semiconductor substrate comprises a semiconductor substrate comprising an insulative surface region wherein a concentration of dopant in the insulative surface region is less than a concentration of dopant in the semiconductor substrate outside of the insulative surface region and a thin film of germanium coupled to the insulative surface region of the semiconductor substrate wherein the thin film of germanium and the insulative surface region are simultaneously formed by oxidation anneal of a thin film of silicon germanium (Si1-xGex) deposited to the semiconductor substrate wherein x is a value between 0 and 1 that provides a relative amount of silicon and germanium in the thin film of Si1-xGex.
    Type: Grant
    Filed: July 31, 2008
    Date of Patent: February 8, 2011
    Assignee: Intel Corporation
    Inventors: Ravi Pillarisetty, Been-Yih Jin, Willy Rachmady, Marko Radosavljevic
  • Publication number: 20110024766
    Abstract: A method is disclosed for producing a high quality bulk single crystal of silicon carbide in a seeded growth system by reducing the separation between a silicon carbide seed crystal and a seed holder until the conductive heat transfer between the seed crystal and the seed holder dominates the radiative heat transfer between the seed crystal and the seed holder over substantially the entire seed crystal surface that is adjacent the seed holder.
    Type: Application
    Filed: May 3, 2010
    Publication date: February 3, 2011
    Applicant: Cree, Inc.
    Inventors: Jason Ronald Jenny, David Phillip Malta, Hudson McDonald Hobgood, Stephan Georg Mueller, Mark Brady, Robert Tyler Leonard, Adrian Powell, Valeri F. Tsvetkov
  • Publication number: 20110024769
    Abstract: A method for fabricating a semiconductor device includes the steps of forming a SiC film, forming trenches at a surface of the SiC film, heat-treating the SiC film with silicon supplied to the surface of the SiC film, and obtaining a plurality of macrosteps to constitute channels, at the surface of the SiC film by the step of heat-treating. Taking the length of one cycle of the trenches as L and the height of the trenches as h, a relation L=h(cot ?+cot ?) (where ? and ? are variables that satisfy the relations 0.5??, ??45) holds between the length L and the height h. Consequently, the semiconductor device can be improved in property.
    Type: Application
    Filed: October 18, 2010
    Publication date: February 3, 2011
    Applicant: SUMITOMO ELECTRIC INDUSTRIES, LTD.
    Inventor: Takeyoshi MASUDA
  • Publication number: 20110018005
    Abstract: A semiconductor device of the present invention includes a semiconductor layer composed of SiC, a metal layer directly bonded to one face of the semiconductor layer, and a high carbon concentration layer formed on a surface layer portion at one side of the semiconductor layer and containing more highly concentrated carbon than a surface layer portion of the other side. Further, a manufacturing method of a semiconductor device of the present invention includes the steps of forming, on a surface layer portion at one face side of a semiconductor layer composed of SiC, a high carbon concentration layer containing more highly concentrated carbon than a surface layer portion at the other face side by heat treatment and directly bonding metal to the high carbon concentration layer.
    Type: Application
    Filed: July 20, 2010
    Publication date: January 27, 2011
    Applicant: ROHM CO., LTD.
    Inventor: Yuki NAKANO
  • Publication number: 20110017998
    Abstract: The semiconductor device of the present invention includes a semiconductor region made of a material to which conductive impurities are added, an insulating film formed on a surface of the semiconductor region, and an electroconductive gate electrode formed on the insulating film. The gate electrode is made of a material whose Fermi level is closer to a Fermi level of the semiconductor region than a Fermi level of Si in at least a portion contiguous to the insulating film.
    Type: Application
    Filed: July 20, 2010
    Publication date: January 27, 2011
    Applicant: ROHM CO., LTD.
    Inventors: Yuki NAKANO, Ryota Nakamura, Katsuhisa Nagao
  • Publication number: 20110018004
    Abstract: There is no effective method for fabricating a semiconductor power device containing UMOSFET possessing large channel mobility and whose threshold voltage can be lowered with no loss in blocking voltage. A semiconductor device with large blocking voltage is provided utilizing silicon carbide trench MOSFET possessing both narrow regions where the p body concentration is low, and wide regions where the p body concentration is high.
    Type: Application
    Filed: July 12, 2010
    Publication date: January 27, 2011
    Inventors: Haruka SHIMIZU, Natsuki Yokoyama
  • Publication number: 20110017991
    Abstract: In this junction element 1, when a forward voltage is applied, a depletion layer is formed in a semiconductor layer 2, prohibiting electrons present in an electrode layer 4 to move into the semiconductor layer 2. For this reason, a majority of holes in a semiconductor layer 3 do not disappear by recombination with conduction electrons in the semiconductor layer 2, but reach the electrode layer 4 while diffusing into the semiconductor layer 2. Accordingly, the junction element 1 can serve as a good conductor for holes, while avoiding the influence of a resistance value, and allows a current to flow therethrough at a level equal to or more than that achieved by a semiconductor element formed of a Si or SiC semiconductor.
    Type: Application
    Filed: February 27, 2009
    Publication date: January 27, 2011
    Inventors: Satoshi Tanimoto, Norihiko Kiritani, Toshiharu Makino, Masahiko Ogura, Norio Tokuda, Hiromitsu Kato, Hideyo Okushi, Satoshi Yamasaki
  • Publication number: 20110012130
    Abstract: High power wide band-gap MOSFET-gated bipolar junction transistors (“MGT”) are provided that include a first wide band-gap bipolar junction transistor (“BJT”) having a first collector, a first emitter and a first base, a wide band-gap MOSFET having a source region that is configured to provide a current to the base of the first wide band-gap BJT and a second wide band-gap BJT having a second collector that is electrically connected to the first collector, a second emitter that is electrically connected to the first emitter, and a second base that is electrically connected to the first base.
    Type: Application
    Filed: July 15, 2009
    Publication date: January 20, 2011
    Inventor: Qingchun Zhang
  • Publication number: 20110012129
    Abstract: A packaged power electronic device includes a wide bandgap bipolar driver transistor having a base, a collector, and an emitter terminal, and a wide bandgap bipolar output transistor having a base, a collector, and an emitter terminal. The collector terminal of the output transistor is coupled to the collector terminal of the driver transistor, and the base terminal of the output transistor is coupled to the emitter terminal of the driver transistor to provide a Darlington pair. An area of the output transistor is at least 3 times greater than an area of the driver transistor in plan view. For example, an area ratio of the output transistor to the driver transistor may be between about 3:1 to about 5:1. Related devices and methods of fabrication are also discussed.
    Type: Application
    Filed: July 15, 2009
    Publication date: January 20, 2011
    Inventors: Qingchun Zhang, Anant K. Agarwal
  • Publication number: 20110012131
    Abstract: An object is to provide a novel manufacturing method of a semiconductor substrate containing silicon carbide, and another object is to provide a semiconductor device using silicon carbide. A semiconductor substrate is manufactured through the steps of: adding ions to a silicon carbide substrate to form an embrittlement region in the silicon carbide substrate; bonding the silicon carbide substrate to a base substrate with insulating layers interposed therebetween; heating the silicon carbide substrate and separating the silicon carbide substrate at the embrittlement region to form a silicon carbide layer over the base substrate with the insulating layers interposed between therebetween; and performing heat treatment on the silicon carbide layer at a temperature of 1000° C. to 1300° C. to reduce defects of the silicon carbide layer. A semiconductor device is manufactured using the semiconductor substrate formed as described above.
    Type: Application
    Filed: July 7, 2010
    Publication date: January 20, 2011
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventor: Shunpei YAMAZAKI
  • Publication number: 20110012132
    Abstract: Provided is a semiconductor device which has improved withstand voltage and can be manufactured by simpler manufacturing process. The semiconductor device according to the present invention includes: a SiC-containing n-type epitaxial layer 1 which is stacked on a surface of the n+-type substrate 11 containing SiC; n+-type source regions 5 arranged away from each other in a surface layer of the epitaxial layer 1; a p-type well contact region 2 sandwiched by the source regions 5; a p-type well region 3 arranged in contact with surfaces of the source regions 5 and p-type well contact region 2 on the substrate 11 side; and p-type well extension regions 4 arranged to sandwich the source regions 5 and p-type well region 3. The impurity concentration of the p-type well region 3 has a peak concentration at a position deeper in the depth direction from the surface of the epitaxial layer 1 toward the substrate 11 than the position of a peak concentration of the p-type well extension regions 4.
    Type: Application
    Filed: February 6, 2009
    Publication date: January 20, 2011
    Applicant: Rohm Co., Ltd.
    Inventors: Takukazu Otsuka, Shuhei Mitani
  • Publication number: 20110006309
    Abstract: An epitaxial SiC single crystal substrate including a SiC single crystal wafer whose main surface is a c-plane or a surface that inclines a c-plane with an angle of inclination that is more than 0 degree but less than 10 degrees, and SiC epitaxial film that is formed on the main surface of the SiC single crystal wafer, wherein the dislocation array density of threading edge dislocation arrays that are formed in the SiC epitaxial film is 10 arrays/cm2 or less.
    Type: Application
    Filed: September 12, 2008
    Publication date: January 13, 2011
    Applicant: SHOWA DENKO K.K.
    Inventors: Kenji Momose, Michiya Odawara, Keiichi Matsuzawa, Hajime Okumura, Kazutoshi Kojima, Yuuki Ishida, Hidekazu Tsuchida, Isaho Kamata
  • Publication number: 20110006310
    Abstract: A semiconductor device comprises a semiconductor substrate made of silicon carbide, a gate insulating film formed on the semiconductor substrate, and a gate electrode formed on the gate insulating film. The junction surface of the semiconductor surface joined with the gate insulating film is macroscopically parallel to a nonpolar face and microscopically comprised of the nonpolar face and a polar face. In the polar face, either a Si face or a C face is dominant. A semiconductor device comprises a semiconductor substrate comprised of silicon carbide and a gate electrode formed on the semiconductor substrate. The junction surface of the semiconductor surface joined with the electrode is macroscopically parallel to a nonpolar face and microscopically comprised of the nonpolar face and a polar face. In the polar face, either a Si face or a C face is dominant.
    Type: Application
    Filed: November 11, 2008
    Publication date: January 13, 2011
    Applicants: HOYA CORPORATION
    Inventors: Hiroyuki Nagasawa, Naoki Hatta, Takamitsu Kawahara, Hikaru Kobayashi
  • Publication number: 20110001143
    Abstract: A method of depositing a ceramic film, particularly a silicon carbide film, on a substrate is disclosed in which the residual stress, residual stress gradient, and resistivity are controlled. Also disclosed are substrates having a deposited film with these controlled properties and devices, particularly MEMS and NEMS devices, having substrates with films having these properties.
    Type: Application
    Filed: April 18, 2007
    Publication date: January 6, 2011
    Applicant: FLX MICRO, INC.
    Inventors: Mehran Mehregany, Christian A. Zorman, Xiao-An Fu, Jeremy L. Dunning
  • Patent number: 7863682
    Abstract: A semiconductor device having a junction barrier Schottky diode includes: a SiC substrate; a drift layer on the substrate; an insulation film on the drift layer having an opening in a cell region; a Schottky barrier diode having a Schottky electrode contacting the drift layer through the opening of the insulation film and an ohmic electrode on the substrate; a terminal structure having a RESURF layer surrounding the cell region; and multiple second conductive type layers on an inner side of the RESURF layer. The second conductive type layers and the drift layer provide a PN diode. The Schottky electrode includes a first Schottky electrode contacting the second conductive type layers with ohmic contact and a second Schottky electrode contacting the drift layer with Schottky contact.
    Type: Grant
    Filed: March 31, 2008
    Date of Patent: January 4, 2011
    Assignee: Denso Corporation
    Inventors: Eiichi Okuno, Takeo Yamamoto
  • Publication number: 20100320476
    Abstract: Semiconductor devices and methods of making the devices are described. The devices can be junction field-effect transistors (JFETs) or diodes such as junction barrier Schottky (JBS) diodes or PiN diodes. The devices have graded p-type semiconductor layers and/or regions formed by epitaxial growth. The methods do not require ion implantation. The devices can be made from a wide-bandgap semiconductor material such as silicon carbide (SiC) and can be used in high temperature and high power applications.
    Type: Application
    Filed: June 18, 2010
    Publication date: December 23, 2010
    Applicant: SemiSouth Laboratories, Inc.
    Inventors: Lin CHENG, Michael MAZZOLA
  • Publication number: 20100320477
    Abstract: A process is described for producing silicon carbide crystals having increased minority carrier lifetimes. The process includes the steps of heating and slowly cooling a silicon carbide crystal having a first concentration of minority carrier recombination centers such that the resultant concentration of minority carrier recombination centers is lower than the first concentration.
    Type: Application
    Filed: August 30, 2010
    Publication date: December 23, 2010
    Applicant: CREE, INC.
    Inventors: Calvin H. Carter, JR., Jason R. Jenny, David P. Malta, Hudson M. Hobgood, Valeri F. Tsvetkov, Mrinal K. Das
  • Publication number: 20100314628
    Abstract: Semiconductor wafers having a thin layer of strained semiconductor material. These structures include a substrate; an oxide layer upon the substrate; a silicon carbide (SiC) layer upon the oxide layer, and a strained layer of a semiconductor material in a strained state upon the silicon carbide layer, or a matching layer upon the donor substrate that is made from a material that induces strain in subsequent epitaxially grown layers thereon; a strained layer of a semiconductor material of defined thickness in a strained state; and an insulating or semi-insulating layer upon the strained layer in a thickness that retains the strained state of the strained layer. The insulating or semi-insulating layers are made of silicon carbide or oxides and act to retain strain in the strained layer.
    Type: Application
    Filed: August 24, 2010
    Publication date: December 16, 2010
    Inventors: Bruno Ghyselen, Daniel Bensahel, Thomas Skotnicki
  • Publication number: 20100314626
    Abstract: A silicon carbide semiconductor device having excellent performance characteristics and a method of manufacturing the same are obtained. An extended terrace surface is formed at a surface of an initial growth layer on a 4H—SiC substrate by annealing with the initial growth layer covered with an Si film, and then a new growth layer is epitaxially grown on the initial growth layer. A 3C—SiC portion having a polytype stable at a low temperature is grown on the extended terrace surface, and a 4H—SiC portion is grown on the other region. A trench is formed by selectively removing the 3C—SiC portion with the 4H—SiC portion remaining, and a gate electrode of a UMOSFET is formed in the trench. A channel region of the UMOSFET can be controlled to have a low-order surface, and a silicon carbide semiconductor device having high channel mobility and excellent performance characteristics is obtained.
    Type: Application
    Filed: November 16, 2007
    Publication date: December 16, 2010
    Applicant: SUMITOMO ELECTRIC INDUSTRIES, LTD.
    Inventors: Shin Harada, Takeyoshi Masuda
  • Publication number: 20100308343
    Abstract: According to the embodiment, a semiconductor device includes an SiC substrate of a first or second conductivity type. An SiC layer of the first conductivity type is formed on a front surface of the substrate, a first SiC region of the second conductivity type is formed on the SiC layer, a second SiC region of the first conductivity type is formed within a surface of the first SiC region, a gate dielectric is continuously formed on the SiC layer, the second SiC region, and the surface of the first SiC region interposed between the SiC layer and the second SiC region, a gate electrode is formed on the gate dielectric, a first electrode is embedded in a trench selectively formed in a part where the first SiC region adjoins the second SiC region, and a second electrode is formed on a back surface of the substrate.
    Type: Application
    Filed: July 29, 2010
    Publication date: December 9, 2010
    Inventors: Takuma Suzuki, Hiroshi Kono, Takashi Shinohe
  • Publication number: 20100308340
    Abstract: Provided is a device that includes a semiconductor body having a surface. Source and drain regions with effective dopant populations of a first polarity can be disposed adjacent to the surface and spaced apart from one another. A channel region with an effective dopant population of the first polarity can extend between the source and drain regions while being spaced apart from the surface. A gate region with an effective dopant population of a second polarity and first effective dopant density can extend between the source and drain regions and be disposed between the channel region and the surface. A gate contact region can be disposed between the source and drain regions and adjacent to the surface. The gate contact region can have an effective dopant population of the second polarity and a second effective dopant density greater than the first effective dopant density.
    Type: Application
    Filed: June 4, 2009
    Publication date: December 9, 2010
    Applicant: GENERAL ELECTRIC COMPANY
    Inventors: Vinayak Tilak, Peter Almern Losee
  • Publication number: 20100308341
    Abstract: A switching resistance RAM that is highly integrated as well as reduced in a read-out time is realized. There is formed an NPN type bipolar transistor BT composed of a collector layer made of an N-well 11, a base layer made of a P+ type Si layer 12A formed in a surface of the N-well 11, and an emitter layer made of an N+ type Si layer 15 formed in a surface of the P+ type Si layer 12A. Also, there are formed a word line WL0 electrically connected to the N+ type Si layer 15 and bit lines BL1-BL4 intersecting with the word line WL0. Also, there are formed a plurality of switching layers 14 formed on a surface of the P+ type Si layer 12A, each being electrically connected to corresponding each of the bit lines and switching between an ON state and an OFF state and an electric potential fixing line 19A to fix the P+ type Si layer 12A at a predetermined electric potential.
    Type: Application
    Filed: September 8, 2008
    Publication date: December 9, 2010
    Applicant: National University Corporation Tokyo University of Agriculture and Technology
    Inventors: Yoshiyuki Suda, Yutaka Ota
  • Publication number: 20100308344
    Abstract: In a method for growing a p-type SiC semiconductor single crystal on a SiC single crystal substrate, using a first solution in which C is dissolved in a melt of Si, a second solution is prepared by adding Al and N to the first solution such that an amount of Al added is larger than that of N added, and the p-type SiC semiconductor single crystal is grown on the SiC single crystal substrate from the second solution. A p-type SiC semiconductor single crystal is provided which is grown by the method as described above, and which contains 1×1020 cm?3 of Al and 2×1018 to 7×1018 cm?3 of N as impurities.
    Type: Application
    Filed: January 28, 2009
    Publication date: December 9, 2010
    Inventors: Akinori Seki, Yasuyuki Fujiwara
  • Publication number: 20100308337
    Abstract: Hybrid semiconductor devices including a PIN diode portion and a Schottky diode portion are provided. The PIN diode portion is provided on a semiconductor substrate and has an anode contact on a first surface of the semiconductor substrate. The Schottky diode portion is also provided on the semiconductor substrate and includes a polysilicon layer on the semiconductor substrate and a ohmic contact on the polysilicon layer. Related Schottky diodes are also provided herein.
    Type: Application
    Filed: June 3, 2009
    Publication date: December 9, 2010
    Applicant: Cree, Inc.
    Inventors: Saptharishi Sriram, Qingchun Zhang
  • Publication number: 20100301351
    Abstract: The present invention relates to various switching device structures including Schottky diode, P—N diode, and P—I—N diode, which are characterized by low defect density, low crack density, low pit density and sufficient thickness (>2.5 um) GaN layers of low dopant concentration (<1E16 cm?3) grown on a conductive GaN layer. The devices enable substantially higher breakdown voltage on hetero-epitaxial substrates (<2 KV) and extremely high breakdown voltage on homo-epitaxial substrates (>2 KV).
    Type: Application
    Filed: August 6, 2010
    Publication date: December 2, 2010
    Applicant: CREE, INC.
    Inventors: Jeffrey S. Flynn, George R. Brandes, Robert P. Vaudo