Metal-insulator-semiconductor (e.g., Mos Capacitor) (epo) Patents (Class 257/E29.345)
  • Publication number: 20080135936
    Abstract: The method of manufacturing a semiconductor device includes: forming a gate insulating film on a semiconductor substrate; forming a thin silicon layer on the gate insulating film; and forming a metal film on the thin silicon layer, having a work function at the interface with respect to the gate insulating film of a value within a predetermined range.
    Type: Application
    Filed: November 30, 2007
    Publication date: June 12, 2008
    Inventor: Kazuaki NAKAJIMA
  • Publication number: 20080135904
    Abstract: A single-poly electrically erasable/programmable CMOS logic memory cell for mobile applications includes a CMOS inverter that share a single polysilicon floating gate, and an enhanced control capacitor including a control gate capacitor and an optional isolated P-well (IPW) capacitor formed below the control gate capacitor. The control gate capacitor includes a polysilicon control gate that is interdigitated with the floating gate and serves as a capacitor plate to induce Fowler-Nordheim (F-N) injection or Band-to-Band Tunneling (BBT) to both program and erase the floating gate. The IPW capacitor is provided in the otherwise unused space below the control gate capacitor by a IPW that is separated from the control/floating gates by a dielectric layer and is electrically connected to the control gate. Both F-N injection and BBT program/erase are performed at 5V or less.
    Type: Application
    Filed: November 7, 2007
    Publication date: June 12, 2008
    Applicant: Tower Semiconductor Ltd.
    Inventors: Yakov Roizin, Victor Kairys, Erez Sarig, David Zfira
  • Publication number: 20080135876
    Abstract: Trench capacitors that have insulating layer collars in undercut regions and methods of fabricating such trench capacitors are provided. Some methods of fabricating a trench capacitor include forming a first layer on a substrate. A second layer is formed on the first layer opposite to the substrate. A mask is formed that has an opening on top of the first and second layers. A first trench is formed by removing a portion of the first and second layers through the opening in the mask. A portion of the first layer under the second layer is removed to form an undercut region under the second layer. An insulating layer collar is formed in the undercut region under the second layer. A second trench is formed that extends from the first trench by removing a portion of the substrate through the opening in the mask. A buried plate is formed in the substrate along the second trench. A dielectric layer is formed on an inner wall and bottom of the second trench.
    Type: Application
    Filed: February 19, 2008
    Publication date: June 12, 2008
    Inventors: Suk-jin Chung, Seung-hwan Lee, Sung-tae Kim, Young-sun Kim, Jae-soon Lim, Young-geun Park
  • Publication number: 20080135894
    Abstract: Embodiments are an improved transistor structure and the method of fabricating the structure. In particular, a wet etch of an embodiment forms source and drain regions with an improved tip shape to improve the performance of the transistor by improving control of short channel effects, increasing the saturation current, improving control of the metallurgical gate length, increasing carrier mobility, and decreasing contact resistance at the interface between the source and drain and the silicide.
    Type: Application
    Filed: January 15, 2008
    Publication date: June 12, 2008
    Inventors: Mark T. Bohr, Steven J. Keating, Thomas A. Letson, Anand S. Murthy, Donald W. O'Neill, Willy Rachmady
  • Publication number: 20080128832
    Abstract: The present invention discloses a method of optimizing threshold voltage of P-type MOS transistor, including: providing a semiconductor substrate; forming a P-type MOS transistor on the semiconductor substrate; and performing a second N-type ion implantation in the source and drain extension regions. A P-type MOS transistor and a method for forming the same are also provided, the method includes: providing a semiconductor substrate including a region I and a region II being concentric with the region I and occupying 15% to 25% of the area of the whole semiconductor substrate; forming a P-type MOS transistor on the semiconductor substrate; and performing a second N-type ion implantation in the source and drain extension regions of the region II. Therefore, the reduction in threshold voltage of the P-type MOS transistors in region II of the semiconductor substrate is suppressed.
    Type: Application
    Filed: October 19, 2007
    Publication date: June 5, 2008
    Applicant: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: Xiaohui ZHUANG, Shengfen Chiu, Peng Sun
  • Publication number: 20080128761
    Abstract: The present invention provides novel nanostructure composed of at least one elongated structure element, an elongated structure element of said nanostructure bearing a different zone made of metal, metal alloy, conductive polymer or semiconductor and selectively grown onto at least one of the end portions of the elongated structure element. The present invention further provides a selective method for forming in a liquid medium, such nanostructures.
    Type: Application
    Filed: February 3, 2005
    Publication date: June 5, 2008
    Applicant: Yissum Research Development Company of The Hebrew University of Jerusalem
    Inventors: Uri Banin, Taleb Mokari
  • Publication number: 20080128772
    Abstract: The invention describes an in-situ method of fabricating a metal insulator metal (MIM) capacitor and products formed by the same. The method utilizes atomic layer deposition (ALD) or metal-organic chemical vapor deposition (MOCVD). In the method, a metal precursor is sequentially reacted with a nitrogen source, oxidant, and then a nitrogen source again. Reaction with the nitrogen source generates the outermost conductive metal nitride (MN) layers (121). Reaction with the oxidant generates an inner dielectric metal oxide (MOx) layer (110). Alternatively, or in addition, the metal precursor can be reacted with a mixture of oxidant and nitrogen source to generate inner dielectric layer(s) (231, 232, 310) of metal oxynitride (MOxNy). Because the same metal is used throughout the capacitor, the layers in the MIM capacitor exhibits excellent compatibility and stability.
    Type: Application
    Filed: January 4, 2008
    Publication date: June 5, 2008
    Inventor: Yoshihide Senzaki
  • Publication number: 20080128834
    Abstract: A method of reducing hot carrier degradation and a semiconductor structure so formed are disclosed. One embodiment of the method includes depositing a silicon nitride layer over a transistor device, ion implanting a species into the silicon nitride layer to drive hydrogen from the silicon nitride layer, and annealing to diffuse the hydrogen into a channel region of the transistor device. The species may be chosen from, for example: germanium (Ge), arsenic (As), xenon (Xe), nitrogen (N), oxygen (O), carbon (C), boron (B), indium (In), argon (Ar), helium (He), and deuterium (De). The ion implantation modulates atoms in the silicon nitride layer such as hydrogen, nitrogen and hydrogen-nitrogen bonds such that hydrogen can be controllably diffused into the channel region.
    Type: Application
    Filed: January 16, 2008
    Publication date: June 5, 2008
    Applicants: International Business Machines Corporation, Chartered Semiconductor Manufacturing Ltd. ("CSM")
    Inventors: Haining Yang, Xiangdong Chen, Yong Meng Lee, Wenhe Lin
  • Publication number: 20080128766
    Abstract: A MOSFET structure and method of fabricating the structure incorporates a multi-layer sidewall spacer to suppress parasitic overlap capacitance between the gate conductor and the source/drain extensions without degrading drive current and, thereby, effecting overall MOSFET performance. The multi-layer sidewall spacer is formed with a gap layer having a dielectric constant equal to one and a permeable low-K (e.g., less than 3.5) dielectric layer. Alternatively, the multi-layer sidewall spacer is formed with a first L-shaped dielectric layer having a permittivity value of less than approximately three and a second dielectric layer. The multi-layer spacer may also have a third nitride or oxide spacer layer. This third spacer layer provides increased structural integrity.
    Type: Application
    Filed: February 14, 2008
    Publication date: June 5, 2008
    Applicant: International Business Machines Corporation
    Inventors: Elbert E. Huang, Philip J. Oldiges, Ghavam G. Shahidi, Christy S. Tyberg, Xinlin Wang, Robert L. Wisnieff
  • Publication number: 20080121960
    Abstract: A semiconductor device may include a MOS transistor having source and drain regions in a semiconductor substrate, a first inter-layer insulator having first contact holes that reach the source and drain regions over the MOS transistor. Cell contact plugs in the first contact holes contact with the source and drain regions. A second inter-layer insulator over the first inter-layer insulator and the cell contact plugs has second contact holes that reach the cell contact plugs. Contact plugs each have first and second portions. The first portion is in the second contact hole. The second portion extends over the first second inter-layer insulator. Metal barrier layers cover the upper surfaces of the second portions of the contact plugs. Capacitors each have a bottom electrode layer, a capacitive insulating layer and a top electrode layer. The bottom electrode layers each have a contact portion that contacts with the metal barrier layer.
    Type: Application
    Filed: November 19, 2007
    Publication date: May 29, 2008
    Applicant: ELPIDA MEMORY, INC.
    Inventor: Masahiko Ohuchi
  • Publication number: 20080121959
    Abstract: The embodiments discussed herein reduce, in a semiconductor device having a ferroelectric capacitor, the film thickness of an interlayer insulation film covering the ferroelectric capacitor without degrading yield, and reduce the invasion of water into the ferroelectric capacitor. A semiconductor device includes a first interlayer insulation film formed on a substrate, a ferroelectric capacitor formed on the first interlayer insulation film, a second interlayer insulation film formed on the first interlayer insulation film so as to cover the ferroelectric capacitor, and a hydrogen barrier film formed on the second interlayer insulation film, the ferroelectric capacitor is formed of a lower electrode, a ferroelectric film formed on the lower electrode, an upper electrode formed on the ferroelectric film in contact therewith, and a polish-resistant film formed on the upper electrode, wherein the second interlayer insulation film covers the polish-resistant film with a film thickness of 50-100 nm.
    Type: Application
    Filed: November 28, 2007
    Publication date: May 29, 2008
    Applicant: FUJITSU LIMITED
    Inventor: Kazutoshi Izumi
  • Publication number: 20080122001
    Abstract: An integrated circuit and method for making an integrated circuit including doping a semiconductor body is disclosed. One embodiment provides defect-correlated donors and/or acceptors. The defects required for this are produced by electron irradiation of the semiconductor body. Form defect-correlated donors and/or acceptors with elements or element compounds are introduced into the semiconductor body.
    Type: Application
    Filed: November 26, 2007
    Publication date: May 29, 2008
    Applicant: INFINEON TECHNOLOGIES AUSTRIA AG
    Inventors: Frank Pfirsch, Hans-Joachim Schulze, Franz-Josef Niedernostheide
  • Publication number: 20080116498
    Abstract: A semiconductor device comprising the following. A structure having: a capacitor; a first resistor; and a second resistor each within at least a portion of an oxide structure and a metal-oxide semiconductor electrode not within at least a portion of the oxide structure. The capacitor comprising: a lower capacitor first doped polysilicon portion; a capacitor interpoly oxide film portion thereover; and an upper capacitor second doped polysilicon portion over at least a portion of the capacitor interpoly oxide film portion. The first resistor comprising a lower first resistor first doped polysilicon portion and an upper first resistor second doped polysilicon portion thereover. The second resistor comprising a lower second resistor first doped polysilicon portion and an upper interpoly oxide film portion thereover. The metal-oxide semiconductor electrode comprising a lower metal-oxide semiconductor first doped polysilicon portion and an upper metal-oxide semiconductor second doped polysilicon portion thereover.
    Type: Application
    Filed: January 24, 2008
    Publication date: May 22, 2008
    Inventor: Hsiu-Wen Hsu
  • Publication number: 20080111201
    Abstract: Provided is a method for manufacturing a semiconductor device. In the method, a gate oxide layer, a gate polysilicon layer, and a capping oxide layer are sequentially formed on a semiconductor substrate. A photoresist pattern is formed on the capping oxide layer. The capping oxide layer, gate polysilicon layer, and gate oxide layer are sequentially etched using the photoresist pattern as an etch mask. Ions are then implanted into the semiconductor substrate using the photoresist pattern as a mask. A thermal diffusion process is performed to form source/drain regions. The capping oxide layer is removed, and ions are implanted into the gate polysilicon layer. After metal is deposited on the gate polysilicon layer, a silicide is formed.
    Type: Application
    Filed: August 13, 2007
    Publication date: May 15, 2008
    Inventor: Yong ho Oh
  • Publication number: 20080111641
    Abstract: A digitally controlled oscillator (DCO) includes a pulse generator for generating a pulse signal upon an edge of a trigger signal, and at least one delay circuit coupled to delay the pulse signal generated by the pulse generator. The pulse generator is coupled to receive one of the delayed pulse signal from the at least one delay circuit and an enable signal as the trigger signal. A digitally controlled varactor (DCV) includes a transistor having a gate, a source, a drain, and a substrate, wherein at least one of the gate, the source, the drain, and the substrate is coupled to receive one of two or more voltages, wherein at least one of the two or more voltages is not a power supply voltage or ground.
    Type: Application
    Filed: November 13, 2006
    Publication date: May 15, 2008
    Inventors: Hong-Yi Huang, Jen-Chieh Liu, Yuan-Hua Chu
  • Publication number: 20080105914
    Abstract: A titanium oxide extended gate field effect transistor (EGFET) device and fabricating method thereof. Titanium oxide is formed on an EGFET by sputtering, coating a detection membrane therefor. Current-voltage relationships at different pH values are also measured via a current measuring system. Sensitivity parameter of the titanium oxide EGFET is calculated according to a relationship between a pH value and a gate voltage.
    Type: Application
    Filed: January 11, 2008
    Publication date: May 8, 2008
    Applicant: NATIONAL YUNLIN UNIVERSITY OF SCIENCE AND TECHNOLOGY
    Inventors: Jung-Chuan Chou, Hung-Hsi Yang
  • Publication number: 20080105927
    Abstract: The memory device includes upper gate structures and lower gate structures formed on an active region of a substrate, and an insulation layer. Each of the upper gate structures may have a blocking layer pattern and a control gate electrode. Each of the lower gate structures may have a tunnel insulation layer pattern and a floating gate electrode. The floating gate electrode may include a lower portion that is narrower than an upper portion contacting with the upper gate structure. The insulation layer may cover gate structures formed of the lower and upper gate structures, and may include air gaps between adjacent gate structures.
    Type: Application
    Filed: February 27, 2007
    Publication date: May 8, 2008
    Inventors: Young-Joon Ahn, Jong-Jin Lee
  • Publication number: 20080099857
    Abstract: A semiconductor integrated circuit device includes a first and a second field-effect transistors having a gate electrode formed as a ring shape, a drain diffusion layer formed inside the gate electrode and a source diffusion layer formed outside the gate electrode and a substrate potential diffusion layer or a well potential diffusion layer disposed to contact each of the source diffusion layers of the first and the second field-effect transistors of the same conductivity type, the substrate potential diffusion layer or the well potential diffusion layer being formed with a semiconductor of a different conductivity type from the source diffusion layer. Different signals are input to each of the gate electrodes, the substrate potential diffusion layer or the well potential diffusion layer are formed between the source diffusion layer of the first field-effect transistor and the source diffusion layer of the second field-effect transistor.
    Type: Application
    Filed: October 11, 2007
    Publication date: May 1, 2008
    Inventors: Hiroshi Furuta, Junji Monden, Shouzou Uchida, Muneaki Matsushige
  • Publication number: 20080099833
    Abstract: A MOS transistor suppressing a short channel effect includes a substrate, a first diffusion region and a second diffusion region separated from each other by a channel region in an upper portion of the substrate, a gate insulating layer including a first gate insulating layer disposed on a surface of the substrate in the channel region and a second gate insulating layer having a specified depth from the surface of the substrate to be disposed between the first diffusion region and the channel region, and a gate electrode disposed on the first gate insulating layer.
    Type: Application
    Filed: June 28, 2007
    Publication date: May 1, 2008
    Applicant: Hynix Semiconductor Inc.
    Inventor: Kyoung Bong Rouh
  • Publication number: 20080093673
    Abstract: A semiconductor device includes a first MIS transistor on a first active region of a semiconductor substrate, the first MIS transistor including: a first gate insulating film provided on the first active region; a first gate electrode provided on the first gate insulating film; a first stressor insulating film provided on an upper face and side faces facing in a gate length direction of the first gate electrode such that first stress acts on a channel of the first MIS transistor in the gate length direction; and a first base insulating film provided on side faces of the first gate electrode facing in a gate width direction, wherein the side faces of the first gate electrode facing in the gate width direction are not covered with the first stressor insulating film.
    Type: Application
    Filed: June 27, 2007
    Publication date: April 24, 2008
    Inventors: Nobuyuki Tamura, Ken Suzuki, Katsuhiro Ootani
  • Publication number: 20080093639
    Abstract: A method for forming a gate insulating layer of a Metal Oxide Semiconductor (MOS) transistor includes forming an oxide layer on a semiconductor substrate, implanting plasma nitrogen ions into the oxide layer, and performing heat treatment on the nitrogen ion-implanted oxide layer to eliminate damage to a surface of the oxide layer. The nitrogen ions are implanted according to a Decoupled Plasma Nitridation (DPN) method. The nitrogen ions are implanted under conditions including RF power of approximately 200-800 W, a duty cycle of approximately 20-100%, a pressure of approximately 10-30 mtorr, and a process time of approximately 30-100 seconds.
    Type: Application
    Filed: October 10, 2007
    Publication date: April 24, 2008
    Inventor: Dae-Young Kim
  • Publication number: 20080093641
    Abstract: High-Voltage Lateral MOSFET and Lateral Double-diffused MOS (LDMOS) for HV power applications with multiple paths for conduction in the drain extension and methods of fabrication are described.
    Type: Application
    Filed: April 30, 2007
    Publication date: April 24, 2008
    Inventors: Adrianus Willem Ludikhuize, Inesz Marycka Weijland, Joan Wichard Strijker
  • Publication number: 20080087923
    Abstract: A semiconductor device and manufacturing method thereof capable of improving an operating speed of a MOSFET using an inexpensive structure. The method comprises the steps of forming a stress film to cover a source, drain, sidewall insulating layer and gate of the MOSFET and forming in the stress film a slit extending from the stress film surface toward the sidewall insulating layer. As a result, an effect of allowing local stress components in the stress films on the source and the drain to be relaxed by local stress components in the stress film on the gate is suppressed by the slit.
    Type: Application
    Filed: January 11, 2007
    Publication date: April 17, 2008
    Applicant: FUJITSU LIMITED
    Inventor: Naoyoshi Tamura
  • Publication number: 20080087948
    Abstract: A semiconductor device includes a semiconductor substrate including an active region and a gate region, and a gate channel formed in a portion of the active region that overlaps the gate region. The gate channel includes a recessed multi-bulb structure.
    Type: Application
    Filed: June 29, 2007
    Publication date: April 17, 2008
    Inventor: Jung Sam Kim
  • Publication number: 20080088355
    Abstract: An integrated circuit including a semiconductor device is disclosed. One embodiment provides a load current component, having a multiplicity of trenches in a cell array. A sensor component is integrated into the cell array of the load current component and has a sensor cell array, the area of which is smaller than the area of the cell array of the load current component by a specific factor. The trenches forming the cell array of the sensor component correspond to the trenches of the cell array of the load current component, configured such that the trenches of the sensor component at the at least one side merge uniformly into the trenches of the cell array of the load current component without interruptions or disturbances of the trench geometry.
    Type: Application
    Filed: October 11, 2007
    Publication date: April 17, 2008
    Applicant: INFINEON TECHNOLOGIES AUSTRIA AG
    Inventors: Mathias Von Borcke, Markus Zundel, Thorsten Meyer, Uwe Schmalzbauer
  • Publication number: 20080079112
    Abstract: A through electrode is formed prior to fabricating a semiconductor device by using a standard manufacturing method. Aside face of the through electrode is insulated from a semiconductor substrate by an insulating film, while the top face thereof is covered with a protective insulating film. These insulating films covering the through electrode protect a conductor of the through electrode and prevent emission of a contaminant from the conductor. Standard manufacturing conditions can be applied without change.
    Type: Application
    Filed: September 18, 2007
    Publication date: April 3, 2008
    Applicant: Elpida Memory, Inc.
    Inventor: Shiro UCHIYAMA
  • Publication number: 20080079051
    Abstract: A metal oxide semiconductor varactor may be formed with HALO implants regions having an opposite polarity from the polarity of the well of the varactor. The HALO implant regions can be angled away from the source and drain. The HALO implant regions can stop the depletion from continuing as the bias voltage applied to the gate continues to increase. Stopping that depletion can create a constant capacitance when the varactor is in a depletion bias.
    Type: Application
    Filed: September 29, 2006
    Publication date: April 3, 2008
    Inventors: Luo Yuan, Derchang Kau, Wei-Kai Shih, Shafqat Ahmed, Brian K. Armstrong
  • Publication number: 20080079098
    Abstract: Provided are a semiconductor device and a fabricating method thereof. In the method, a first wafer including a core region is fabricated. A second wafer including an input/output region is fabricated. Subsequently, the first wafer is coupled to the second wafer. Since an embodiment does not require a process for controlling the thicknesses of oxide layers of the core and I/O regions, manufacturing costs can be reduced.
    Type: Application
    Filed: September 19, 2007
    Publication date: April 3, 2008
    Inventor: JI HO HONG
  • Publication number: 20080079097
    Abstract: According to an aspect of the invention, there is provided a semiconductor device including a first semiconductor element formed on a semiconductor substrate and using electrons as carriers, and a second semiconductor element formed on the semiconductor substrate and using holes as carriers, a first insulating film and a second insulating film formed on source/drain regions and gate electrodes of the first element and the second element, the first insulating film having tensile stress with respect to the first element, and the second insulating film having compression stress with respect to the second element, and sidewall spacers of the gate electrodes of the first element and the second element, at least portions of the sidewall spacers being removed, wherein at least one of the first insulating film and the second insulating film does not close a spacing between the gate electrodes of the first element and the second element.
    Type: Application
    Filed: September 28, 2007
    Publication date: April 3, 2008
    Inventor: Hideki Inokuma
  • Publication number: 20080073681
    Abstract: According to an aspect of the present invention, there is provided a semiconductor apparatus including a semiconductor substrate, a transistor formed on the semiconductor substrate, an insulating film disposed on the semiconductor substrate, a ferroelectric capacitor and an upper mask. The ferroelectric capacitor includes a lower electrode disposed on the insulating film, a ferroelectric film disposed on the lower electrode and an upper electrode disposed on the ferroelectric film. The upper mask includes a hard mask disposed on the upper electrode and a sidewall mask disposed on at least part of a sidewall of the hard mask.
    Type: Application
    Filed: September 20, 2007
    Publication date: March 27, 2008
    Applicant: Kabushiki Kaisha Toshiba
    Inventor: Hiroyuki KANAYA
  • Publication number: 20080073682
    Abstract: According to an aspect of the present invention, there is provided a non-volatile semiconductor memory device, including a ferroelectric capacitor being stacked a first electrode, a ferroelectric film and a second electrode in order, a first protective film with hydrogen barrier performance, the first protective film being formed under the first electrode and on a side-wall of the ferroelectric capacitor, the first protective film being widened from the second electrode towards the first electrode, a second protective film with hydrogen barrier performance, the second protective film being formed over the second electrode and on the first protective film formed on the side-wall of the ferroelectric capacitor, the second protective film being widened from the first electrode towards the second electrode, a cell transistor, a source of the cell transistor being connected to the first electrode, a drain of the cell transistor being connected to a bit line and a gate being connected to a word line.
    Type: Application
    Filed: September 18, 2007
    Publication date: March 27, 2008
    Inventors: Yoshinori Kumura, Tohru Ozaki, Iwao Kunishima
  • Publication number: 20080067615
    Abstract: A semiconductor device including at least one of: A well region formed by implanting impurities between isolation layers in a semiconductor substrate. A drift region formed at an upper portion of the well region. A gate pattern formed on the semiconductor substrate while overlapping with one side of the drift region. At least one STI (Shallow Trench Isolation) formed on the drift region, adjacent to the gate pattern.
    Type: Application
    Filed: September 12, 2007
    Publication date: March 20, 2008
    Inventor: San-Hong Kim
  • Publication number: 20080067609
    Abstract: A semiconductor device includes a gate insulator and a gate electrode stacked on a substrate, a source/drain pattern which fills a recess region formed at opposite sides adjacent to the gate electrode, the source/drain pattern being made of silicon-germanium doped with dopants and a metal germanosilicide layer disposed on the source/drain pattern. The metal germanosilicide layer is electrically connected to the source/drain pattern. Moreover, a proportion of germanium amount to the sum of the germanium amount and silicon amount in the metal germanosilicide layer is lower than that of germanium amount to the sum of the germanium amount and silicon amount in the source/drain pattern.
    Type: Application
    Filed: September 18, 2007
    Publication date: March 20, 2008
    Inventors: Myung-Sun Kim, Hwa-Sung Rhee, Tetsuji Ueno, Ho Lee, Ji-Hye Yi
  • Publication number: 20080067524
    Abstract: Micropipe-free, single crystal, silicon carbide (SiC) and related methods of manufacture are disclosed. The SiC is grown by placing a source material and seed material on a seed holder in a reaction crucible of the sublimation system, wherein constituent components of the sublimation system including the source material, reaction crucible, and seed holder are substantially free from unintentional impurities. By controlling growth temperature, growth pressure, SiC sublimation flux and composition, and a temperature gradient between the source material and the seed material or the SiC crystal growing on the seed material during the PVT process, micropipe-inducing process instabilities are eliminated and micropipe-free SiC crystal is grown on the seed material.
    Type: Application
    Filed: September 13, 2007
    Publication date: March 20, 2008
    Applicant: CREE, INC.
    Inventors: Cem Basceri, Yuri Khlebnikov, Igor Khlebnikov, Cengiz Balkas, Murat Silan, Hudson Hobgood, Calvin Carter, Vijay Balakrishna, Robert Leonard, Adrian Powell, Valeri Tsvetkov, Jason Jenny
  • Publication number: 20080067586
    Abstract: All low-temperature processes are used to fabricate a variety of semiconductor devices in a substrate the does not include an epitaxial layer. The devices include a non-isolated lateral DMOS, a non-isolated extended drain or drifted MOS device, a lateral trench DMOS, an isolated lateral DMOS, JFET and depletion-mode devices, and P-N diode clamps and rectifiers and junction terminations. Since the processes eliminate the need for high temperature processing and employ “as-implanted” dopant profiles, they constitute a modular architecture which allows devices to be added or omitted to the IC without the necessity of altering the processes used to produce the remaining devices.
    Type: Application
    Filed: November 5, 2007
    Publication date: March 20, 2008
    Applicant: Advanced Analogic Technologies, Inc.
    Inventors: Richard Williams, Donald Disney, Jun-Wei Chen, Wai Chan, HyungSik Ryu
  • Publication number: 20080067563
    Abstract: A semiconductor device includes: a substrate including a compound semiconductor, a semiconductor layer formed on a surface of the substrate, a plurality of gate electrodes formed on the semiconductor layer, a plurality of source electrodes formed on the semiconductor layer, a plurality of drain electrodes formed on the semiconductor layer, a via hole configured to extend from a substrate side of the semiconductor layer to a rear surface of the source electrode, a ground electrode which is formed on an inner wall of the via hole and on the rear surface of the substrate and connects the plurality of source electrodes, and a first air bridge interconnection which is formed on a surface side of the source electrode and connects the plurality of source electrodes.
    Type: Application
    Filed: August 15, 2007
    Publication date: March 20, 2008
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Hisao Kawasaki
  • Publication number: 20080067626
    Abstract: A semiconductor device, in which a first trench section is produced proceeding from a surface of a semiconductor body into the semiconductor body. A semiconductor layer is produced above the surface and above the first trench section. A further trench section is produced in the semiconductor layer in such a way that the first trench section and the further trench section form a continuous trench structure.
    Type: Application
    Filed: August 6, 2007
    Publication date: March 20, 2008
    Applicant: Infineon Technologies Austria AG
    Inventors: Franz Hirler, Thoralf Kautzsch, Anton Mauder, Michael Rueb, Hans-Joachim Schulze, Helmut Strack, Armin Willmeroth
  • Publication number: 20080067616
    Abstract: Embodiments relate to a semiconductor device in which a first oxide layer may be formed in a channel area under the gate electrode. An electric field loaded on the gate electrode may be reduced when electrons are implanted from the source to the drain, the acceleration of electrons may be reduced, and the electrons implanted in the second oxide layer may be restrained. This may improve the hot-carrier effect, resulting in the increased reliability of the semiconductor device.
    Type: Application
    Filed: September 13, 2007
    Publication date: March 20, 2008
    Inventor: Young-Suk Ko
  • Publication number: 20080061332
    Abstract: A spin MOSFET includes: a semiconductor substrate; a first magnetic film formed on the semiconductor substrate and including a first ferromagnetic layer, a magnetization direction of the first ferromagnetic layer being pinned; a second magnetic film formed on the semiconductor substrate to separate from the first magnetic film and including a magnetization free layer, a first nonmagnetic layer being a tunnel insulator and provided on the magnetization free layer, and a magnetization pinned layer provided on the first nonmagnetic layer, a magnetization direction of the magnetization free layer being changeable and a magnetization direction of the magnetization pinned layer being fixed; a gate insulating film provided at least on the semiconductor substrate between the first magnetic film and the second magnetic film; and a gate electrode formed on the gate insulating film.
    Type: Application
    Filed: June 29, 2007
    Publication date: March 13, 2008
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Yoshiaki Saito, Hideyuki Sugiyama, Tomoaki Inokuchi
  • Publication number: 20080061327
    Abstract: A semiconductor device and its manufacturing method are disclosed. The nitrogen flow is gradually changed to form a semiconductor device with a gate or a source/drain having a nitrified gradient layer structure. Different extents of nitrification inside the nitrified gradient layer structure provide protection and buffering to prevent the undercut after etching due to different materials in the multilayer structure or the interface effect.
    Type: Application
    Filed: November 7, 2007
    Publication date: March 13, 2008
    Applicant: Chunghwa Picture Tubes., Ltd.
    Inventors: Ching-Yeh Kuo, Tsung-Chi Cheng, Yu-Chou Lee, Yea-Chung Shih, Wen-Kuang Tsao, Hsiang-Hsien Chung, Hung-Yi Hsu, Jui-Chung Chang
  • Publication number: 20080061385
    Abstract: A manufacturing method of a semiconductor device including at least one of the following steps. Forming a gate insulating layer, a gate electrode layer, a spacer, a source region and a drain region on and/or over a substrate on which a predetermined lower structure is formed. Making the upper portion of the gate electrode layer and the upper portions of the source and the drain an amorphous structure using a pre-amorphization implant process. Removing the native oxide on the upper portion of the gate electrode layer and the upper portions of the source and the drain by performing a pre-cleaning process. Forming an oxide film on the upper portion of the gate electrode layer and the upper portions of the source and the drain.
    Type: Application
    Filed: September 6, 2007
    Publication date: March 13, 2008
    Inventor: Sung-Joong Joo
  • Publication number: 20080061326
    Abstract: As a discrete semiconductor chip, there has been known one that enables flip-chip mounting by providing first and second electrodes in a current path above a first surface of a semiconductor substrate. However, there is a problem that a horizontal current flow in the substrate increases resistance components. A first electrode and a second electrode, which are connected to an element region, are provided above a first surface. Moreover, a thick metal layer having corrosion resistance and oxidation resistance and also having a low resistance is provided above a second surface. Thus, resistance components of a current flowing in a horizontal direction of a substrate are reduced. Moreover, by appropriately selecting a thickness of the thick metal layer, a resistance value of a device can be reduced while suppressing a cost increase. Furthermore, by adopting Au as the thick metal layer, defects such as discoloration of the thick metal layer with time can be prevented.
    Type: Application
    Filed: August 27, 2007
    Publication date: March 13, 2008
    Applicant: SANYO ELECTRIC CO., LTD.
    Inventors: Tetsuya Yoshida, Mitsuyuki Kobayashi
  • Publication number: 20080061334
    Abstract: A semiconductor memory device and a method for forming the same. The method includes forming an insulating layer on a semiconductor substrate having a conductive region, forming a contact hole that exposes the conductive region by etching the insulating layer, forming a barrier metal layer that covers a sidewall and a bottom of the contact hole, and forming a contact plug in the contact hole by interposing the barrier metal layer therebetween. An etching process may be preformed that recesses the barrier metal layer and the contact plug in such a manner that a top surface of the contact plug protrudes upward beyond a top surface of the barrier metal layer. A capping plug may be formed covering the recessed barrier metal layer and the recessed contact plug. A capacitor may be formed on the capping plug.
    Type: Application
    Filed: September 7, 2007
    Publication date: March 13, 2008
    Inventors: Ju-Young Jung, Suk-Ho Joo, Jung-Hoon Park, Heung-Jin Joo, Hee-San Kim, Seung-Kuk Kang, Do-Yeon Choi
  • Publication number: 20080054374
    Abstract: A semiconductor device including fin-FETs capable of suppressing both OFF-current resulting from the short channel effect and junction leakage, and a manufacturing method thereof are provided. A semiconductor device comprises: an active region defined to have a crank shape by an STI region formed on a semiconductor substrate, the active region having an upper surface higher than an upper surface of the STI region; a source region and a drain region formed on both ends of the active region, respectively; a channel region formed between the source region and the drain region in the active region; and a gate electrode covering an upper surface and side surfaces of a central portion of the active region including the channel region.
    Type: Application
    Filed: August 29, 2007
    Publication date: March 6, 2008
    Applicant: ELPIDA MEMORY, INC.
    Inventor: Noriaki Mikasa
  • Publication number: 20080054352
    Abstract: A semiconductor device including: a semiconductor region having a first semiconductor face and a second semiconductor face connected to the first semiconductor face and having an inclination with respect to the first semiconductor face; a gate insulating film formed on the first and on the second semiconductor faces; a gate electrode formed on the gate insulating film including a part on a boundary between the first semiconductor face and the second semiconductor face; a source impurity region formed in the semiconductor region so as to overlap the gate electrode within the first semiconductor face with the gate insulating film interposed between the source impurity region and the gate electrode; and a drain impurity region formed in the semiconductor region directly under the second semiconductor face at least.
    Type: Application
    Filed: August 29, 2007
    Publication date: March 6, 2008
    Applicant: SONY CORPORATION
    Inventors: Tsutomu Imoto, Toshio Kobayashi, Takayoshi Kato
  • Publication number: 20080057662
    Abstract: The present teachings relate to a method of forming a container capacitor structure on a substrate. In one embodiment, the method comprises etching a recess in the substrate, depositing a first conductive layer on the substrate so as to overlie the substrate and the recess, depositing a filler layer so as to overlie the first conductive layer and fill the recess, and etching the first and second conductive layers so as to define a lower electrode within the recess. The method further comprises forming a cap layer on the lower electrode so as to overlie the first conductive layer and the filler layer and etching at least a portion of the substrate away from the lower electrode to thereby at least partially isolate the lower electrode. Subsequently, the remainder of the capacitor structure may be formed by depositing a dielectric layer on the lower electrode and depositing a second conductive layer on the dielectric layer so as to form an upper electrode.
    Type: Application
    Filed: November 6, 2007
    Publication date: March 6, 2008
    Inventors: Guy Blalock, Scott Meikle
  • Publication number: 20080054376
    Abstract: A semiconductor device and a method of fabricating same are provided. According to an embodiment, a gate insulating layer and a gate are sequentially formed on a substrate, and a pocket ion implant region is formed at sides and below a portion of the gate at a predetermined depth in the substrate. An LDD ion implant region can be formed between the pocket ion implant region and the surface of the substrate. A spacer is formed on sides of the gate, and a deep source/drain region is formed by ion-implanting BF2 within the substrate at sides of the spacer.
    Type: Application
    Filed: August 31, 2007
    Publication date: March 6, 2008
    Inventor: HACNG LEEM JEON
  • Publication number: 20080054367
    Abstract: Embodiments relate to a method of forming a 90 nm semiconductor device, including forming an isolation film within a semiconductor substrate in which a pMOS region and an nMOS region are defined. A first mask is formed to shield the nMOS region by using a DUV photoresist having a thickness of approximately 0.7 to 0.75 ?m. Ions are implanted into the pMOS region to form a p type well. A second mask is formed to shield the pMOS region by using a DUV photoresist having a thickness of approximately 0.7 to 0.75 ?m. Ions are implanted into the nMOS region to form an n type well. A gate oxide film and a gate is formed over the semiconductor substrate. A low-concentration impurity may be implanted by using the gate as a mask. An LDD region may be formed. A sidewall spacer may be formed over both sidewalls of the gate. A high-concentration impurity is implanted by using the sidewall spacer as a mask, forming a source/drain region.
    Type: Application
    Filed: August 29, 2007
    Publication date: March 6, 2008
    Inventor: Jin-Ha Park
  • Publication number: 20080054380
    Abstract: A semiconductor device according to an embodiment includes device isolating layers having a top surface lower than a sheet height of a semiconductor substrate; a gate insulating layer and a gate electrode sequentially stacked on the upper surface of an active region of the semiconductor substrate between the device isolating layers; a spacer formed at the side wall of the gate electrode; a source/drain region formed in the semiconductor substrate between the spacer and the device isolating layers; and a silicide film formed on the source/drain region.
    Type: Application
    Filed: August 30, 2007
    Publication date: March 6, 2008
    Inventor: KI WAN BANG
  • Publication number: 20080054324
    Abstract: An integrated circuit including a gate electrode is disclosed. One embodiment provides a transistor including a first source/drain electrode and a second source/drain electrode. A channel is arranged between the first and the second source/drain electrode in a semiconductor substrate. A gate electrode is arranged adjacent the channel layer and is electrically insulated from the channel layer. A semiconductor substrate electrode is provided on a rear side. The gate electrode encloses the channel layer at at least two opposite sides.
    Type: Application
    Filed: October 29, 2007
    Publication date: March 6, 2008
    Applicant: INFINEON TECHNOLOGIES AG
    Inventors: Richard Luyken, Franz Hofmann, Lothar Risch, Dirk Manger, Wolfgang Rosner, Till Schloesser, Michael Specht