Of Electronic Circuit Chip Or Board Patents (Class 348/126)
  • Patent number: 8436899
    Abstract: A tilted illumination observation method and observation device with easy adjustment, high speed, good reproducibility and low cost is provided. A high resolution tilt image of a specimen is obtained by extracting the blurring on the scanning spot occurring during beam tilt from the image (step 6) captured by the tilted beam, and the image (step 4) captured from directly above the standard specimen; and then deconvoluting (step 11, 12) the tilted image of the target specimen (step 10) using the extracted scanning spot from the oblique beam.
    Type: Grant
    Filed: August 4, 2009
    Date of Patent: May 7, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Takeshi Kawasaki, Tomonori Nakano, Kotoko Hirose
  • Patent number: 8428338
    Abstract: A method of determining a solder paste height of solder paste printed on a circuit board, the method including obtaining a two-dimensional image of the circuit board which is captured from above a solder printed surface, and determining the solder paste height corresponding to a pixel value of each of pixels of the two-dimensional image, based on height information which defines a relationship between the pixel value and the solder paste height, the pixel value being a value representing at least one of luminance of red in a RGB color model, luminance of green in the RGB color model, luminance of blue in the RGB color model, hue in a HSI color model, saturation in the HSI color model, and intensity in the HSI color model.
    Type: Grant
    Filed: February 20, 2012
    Date of Patent: April 23, 2013
    Assignee: Panasonic Corporation
    Inventors: Masanori Ikeda, Michinori Tomomatsu, Masahiro Taniguchi, Yousuke Hassaku, Hiroshi Okamura
  • Patent number: 8400503
    Abstract: A method and apparatus are provided for automatic application and monitoring of a structure to be applied onto substrate. A plurality of cameras positioned around an application facility are utilized to monitor the automatic application of a structure on a substrate by means of a stereometry procedure. Three-dimensional recognition of a reference contour position results in the overlapping area to be used for gross adjustment of the application facility prior to applying the structure.
    Type: Grant
    Filed: July 16, 2004
    Date of Patent: March 19, 2013
    Assignee: Quiss GmbH
    Inventors: Jan Anders Linnenkohl, Andreas Tomtschko, Mirko Berger, Roman Raab
  • Publication number: 20130038716
    Abstract: In a method of inspecting a mask, an image of a first die in a corrected mask may be obtained. The corrected mask may be corrected using correction data that may include deformation factors related to an exposure process. The first image may be reversely corrected based on correction data. The reversely corrected first image may be compared with a reference image to determine whether the first die may be properly implemented or not.
    Type: Application
    Filed: July 18, 2012
    Publication date: February 14, 2013
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: WonIl CHO, Dong-Hoon CHUNG, Ji-Hoon NA, Sang-Hoon HAN
  • Patent number: 8358339
    Abstract: An inspecting method for grasping the inspection result on an intermediate (or an n-th) treatment without long extension of time, in case predetermined treatments of a predetermined number (N times) are exerted on a plurality of sheets running on a conveyor line, before all the treatments of N-times are ended. The inspecting method for inspecting an object subjected to the predetermined treatment includes performing the predetermined treatment repeatedly by the N-times (N: a natural number of 2 or more) sequentially on the individual objects being conveyed on the conveyor line, and an inspecting step of testing such a leading one of the objects as has been subjected to the n-th (n: a natural number of 1 or more but N or less) treatment, and returning the tested object to after such one of the targets as was subjected to the n-th treatment.
    Type: Grant
    Filed: July 25, 2007
    Date of Patent: January 22, 2013
    Assignee: Dai Nippon Printing Co., Ltd
    Inventor: Kenta Hayashi
  • Patent number: 8339449
    Abstract: A method of forming a device is presented. The method includes providing a substrate containing at least a partially formed device thereon. The device comprises at least one defect site. A pixilated image of the defect site is acquired, and each pixel comprises a grey level value (GLV). Surrounding noises of the defect site is eliminated. A point of the image is identified as the center of the defect. A plurality of iterations to exclude outer edge pixels surrounding the center of the defect image is performed. The defect is categorized as a killer or non-killer defect.
    Type: Grant
    Filed: August 7, 2009
    Date of Patent: December 25, 2012
    Assignee: GLOBALFOUNDRIES Singapore Pte. Ltd.
    Inventors: Barbara Fong Chin Lim, Keng Heng Lai, Tanya Yang, Victor Seng Keong Lim, Fang Hong Gn, Liang Choo Hsia
  • Patent number: 8339450
    Abstract: A defect review apparatus includes a storage device which stores data about a defect of an inspection target object; a first imaging device which captures an image located in a position on a surface of the inspection target object, the position being specified by information regarding the position of the inspection target object which has been input; and a control device which controls the first imaging device. The storage device stores: first defect detection data including a defect number as which the defect of the inspection target object detected by a first defect detection process is labeled, and information regarding the position of the defect; and second defect data including a defect number as which the defect of the inspection target object detected by a second defect detection process is labeled, and information regarding its position.
    Type: Grant
    Filed: November 9, 2009
    Date of Patent: December 25, 2012
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Naohiro Takahashi
  • Publication number: 20120314057
    Abstract: An apparatus for identifying a defect in an electronic circuit having periodic features, the apparatus including at least a camera for obtaining an image of the electronic circuit and an image processing system. The image processing system receives the image of the electronic circuit from the camera, performs a diagonal shift of the received image of the electronic circuit by at least a diagonal size of the periodic features of the electronic circuit to produce a shifted image of the electronic circuit, identifies a candidate defect using the image of the electronic circuit and the shifted image of the electronic circuit, computes one or more local defect-free reference (golden) images of the electronic circuit using at least one selected area in the closest proximity of the identified candidate defect and determines the defect in the electronic circuit using one or more computed local golden images of the electronic circuit, the image of the electronic circuit.
    Type: Application
    Filed: June 7, 2011
    Publication date: December 13, 2012
    Applicant: PHOTO DYNAMICS, INC.
    Inventor: Nickolay MOKICHEV
  • Publication number: 20120287264
    Abstract: An apparatus for inspecting a board is shown. The board inspection apparatus includes at least one illuminating module, an imaging lens, a first beam splitter, a first camera, and a second camera. The illuminating module provides light to an inspection board and the imaging lens transmits a light reflected from the inspection board. The first beam splitter transmits a portion of the light transmitted from the imaging lens and reflects the rest of the transmitted light. The first camera image-captures by receiving the light that transmits the first beam, and the second camera image-captures by receiving the light reflected from the first beam splitter. Therefore, by using one imaging lens to inspect the inspection board, the decrease in accuracy caused by the different optical axis or magnification may be prevented.
    Type: Application
    Filed: January 18, 2011
    Publication date: November 15, 2012
    Applicant: KOH YOUNG TECHNOLOGY INC.
    Inventors: Jong-Kyu Hong, Moon-Young Jeon, Hong-Min Kim, Jung Hur, Sang-Kyu Yun
  • Publication number: 20120287263
    Abstract: A method and apparatus for obtaining inspection information is described. A standard CCD or CMOS camera is used to obtain images in the near infrared region. Background and noise components of the obtained image are removed and the signal to noise ratio is increased to provide information that is suitable for use in inspection.
    Type: Application
    Filed: November 16, 2010
    Publication date: November 15, 2012
    Applicant: Rudolph Technologies, Inc.
    Inventor: Wei Zhou
  • Patent number: 8310536
    Abstract: An apparatus and method are provided for measuring the end surface of a disk-shaped semiconductor wafer based on its projection image, without the influence of contaminants on the end surface. A rotation supporting mechanism supports a wafer between a first supporting position rotated by +?relative to a predetermined reference position and a second supporting position rotated by ??degrees at two or more supporting positions. An image sensor picks up a projection image of the wafer's end surface. An index value for the end surface is calculated for each of a plurality of obtained projection images. One representative value of the calculated index values or an aggregate value is obtained, and a shape measurement of the wafer's end surface corresponding to the reference supporting position is derived. When the wafer's radius and a chamfer width are set as r and k, ??cos?1 ((r-k)/r) is satisfied.
    Type: Grant
    Filed: July 18, 2008
    Date of Patent: November 13, 2012
    Assignee: Kobelco Research Institute, Inc.
    Inventors: Masaru Akamatsu, Hidehisa Hashizume, Yasuhide Nakai
  • Patent number: 8311315
    Abstract: A color image of an inspection object is taken by an imaging means capable of taking a color image to obtain color information of an RGB color space. A gray-scale image of a color component of the RGB color space or another color space is generated, and the inspection object is detected by a pattern recognition technique. Alternatively, a binary image is generated from the generated gray-scale image, and the inspection object is detected by performing pattern recognition on the binary image. Color data of a pixel occupied by the detected inspection object is compared with color data of a non-defective inspection object which is previously prepared to judge whether or not the inspection object is defective. In addition, this judgment result is reflected in another manufacturing step through a network and product quality is improved.
    Type: Grant
    Filed: June 27, 2011
    Date of Patent: November 13, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Teppei Oguni, Tatsuji Nishijima, Akiharu Miyanaga
  • Patent number: 8294761
    Abstract: An apparatus for the inspection a vehicle component to detect the presence of a part defect and a method for performing the inspection. According to one embodiment, the apparatus for inspecting the vehicle component comprises: a camera having a lens; a borescope having a first end and a second end, the first end operatively disposed adjacent the camera and the second end having a mirror disposed therein; and a light source for supplying light to the borescope whereby the mirror is operative to reflect an image of an area of the vehicle component to be inspected to the camera.
    Type: Grant
    Filed: December 29, 2006
    Date of Patent: October 23, 2012
    Assignee: Kelsey-Hayes Company
    Inventors: Jody A. McKinley, Robert Zepfel
  • Patent number: 8275190
    Abstract: An apparatus for inspecting pattern defects, the apparatus including: an image acquisition unit which acquires an image of a specimen and stores the acquired image in an image memory; a defect candidate extraction unit which performs a defect candidate extraction process by using the acquired image, which is read from the image memory; and a defect detection unit which performs a defect detection process based on a partial image containing a defect candidate that is extracted by the defect candidate extraction unit, wherein the defect detection process performed by the defect detection unit is performed asynchronously with an image acquisition process that is performed by the image acquisition unit.
    Type: Grant
    Filed: August 22, 2011
    Date of Patent: September 25, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Kaoru Sakai, Shunji Maeda, Takafumi Okabe
  • Patent number: 8269830
    Abstract: A robust video tool is provided for use in a machine vision inspection system. The robust video tool comprises a region of interest, a user interface, edge detection operations, and excluded region operations that determine a set of current-feature edge points which includes edge points detected in the region of interest and excludes edge points in an excluded region. The excluded region is determined by an excluded region generator, based on at least one previously characterized feature which is a feature characterized by using a video tool that detects the edge points of, and characterizes dimensional parameters of, the previously characterized feature. Importantly, the robust video tool features and operations are configured to allow learn mode programming on a workpiece that is either properly fabricated or improperly fabricated, and the resulting program will operate reliably on a run mode workpiece that is either properly fabricated or improperly fabricated.
    Type: Grant
    Filed: April 14, 2011
    Date of Patent: September 18, 2012
    Assignee: Mitutoyo Corporation
    Inventor: Mark Lawrence Delaney
  • Patent number: 8264535
    Abstract: The invention relates to a method for analyzing a group of at least two masks for photolithography, wherein each of the masks comprises a substructure of a total structure, which is to be introduced in a layer of the wafer in the lithographic process, and the total structure is introduced in the layer of the wafer by introducing the substructures in sequence. In this method, a first aerial image of a first one of the at least two masks is recorded, digitized and stored in a data structure. Then, a second aerial image of a second one of the at least two masks is recorded, digitized and stored in a data structure. A combination image is generated from the data of the first and second aerial images, which combination image is represented and/or evaluated.
    Type: Grant
    Filed: July 11, 2008
    Date of Patent: September 11, 2012
    Assignee: Carl Zeiss SMS GmbH
    Inventors: Oliver Kienzle, Rigo Richter, Norbert Rosenkranz, Yuji Kobiyama, Thomas Scheruebl
  • Patent number: 8264534
    Abstract: A method for processing the image data of the surface of a wafer (2) recorded by at least one camera (5) is disclosed, wherein an image field (15) is defined for each camera (5) in such a way that the recorded image content is repeated after N recorded images. In an evaluation electronics (18) M utility programs (19) are determined, wherein M is equal to the number of recorded images after which the image content is repeated. The number M of utility programs (19) is adapted to the number N of images. Each of the M utility programs (19) of the plurality of recorded images is only fed with images having the same image contents in order to detect defects on the basis of the image contents of the images of the surface of the wafer. The results of the M utility programs (19) are respectively forwarded to a central program (20) in a sequential manner, which compiles a distribution of the defects present on the surface of the wafer (2) from the individual results of the M utility programs (19).
    Type: Grant
    Filed: December 4, 2008
    Date of Patent: September 11, 2012
    Assignee: Vistec Semiconductor Systems GmbH
    Inventor: Detlef Michelsson
  • Patent number: 8243134
    Abstract: An optical reader includes an imaging device and an photographing optical system for reading images of an object such as a semiconductor wafer. An LED light source is provided as a dark field illumination light source for illuminating the object at an angle of illumination that deviates from the optical axis of the photographing optical system. The LED light source is supported by a swing-type support member having both ends secured to a housing of the optical reader using screws and nuts. This allows the angle and position of the dark field illumination light source to be adjusted so as to provide a first angle of illumination for illuminating the object directly with the illuminating light from the dark field illumination light source, or a second angle of illumination for illuminating the object by reflection from a half mirror that is disposed in an illumination optical system.
    Type: Grant
    Filed: August 21, 2009
    Date of Patent: August 14, 2012
    Assignee: Kowa Company Ltd.
    Inventors: Takahisa Mizuta, Hidetomo Sakiyama
  • Patent number: 8209135
    Abstract: A defect detected by a wafer inspection tool is reliably captured by a defect review tool. A defect review condition in the defect review tool is varied depending on defect attributes provided by the wafer inspection tool so as to optimize the review process. For example, review magnification is varied depending on the size of the defect, or the frame addition number is varied depending on the maximum gray level difference.
    Type: Grant
    Filed: March 4, 2011
    Date of Patent: June 26, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Tomohiro Funakoshi, Junko Konishi, Yuko Kariya, Noritsugu Takahashi, Fumiaki Endo
  • Patent number: 8208017
    Abstract: The image pickup apparatus (1) of the present invention includes: a shaking motion detecting section (101a and 101b) for detecting a shaking motion of the image pickup apparatus (1) and for outputting a shaking motion detection signal indicating the shaking motion of the image pickup apparatus (1); an image forming section (2, 2a, and 2b) for forming an optical image by focusing light incident to the image pickup apparatus (1); an image pickup section (5) for converting the optical image formed by the image forming section (2, 2a, and 2b) into electric video information; and a locus calculating section (91) for obtaining locus information indicating a locus of the shaking motion of the image pickup apparatus (1) based on at least the shaking motion detection signal output from the shaking motion detecting section (101a and 101b).
    Type: Grant
    Filed: December 24, 2004
    Date of Patent: June 26, 2012
    Assignee: Panasonic Corporation
    Inventor: Mitsuaki Oshima
  • Patent number: 8203606
    Abstract: A method of assessing the quality of metal parts, for example through detection of defects in a metal part induced by processing the metal part, comprises acquiring a thermal image of the metal part after processing the metal part, determining a difference image by comparing the thermal image to a reference image, the difference image being related to temperature differences between temperature data represented by the thermal image and by the reference image, and determining a gradient image using the difference image, the gradient image representing temperature difference gradients within the difference image. An example apparatus comprises a camera, such as a thermal camera, an image processor, and an output device such as a display.
    Type: Grant
    Filed: November 7, 2008
    Date of Patent: June 19, 2012
    Assignee: Toyota Motor Engineering & Manufacturing North America, Inc.
    Inventors: Thiago I. Avila, Petru S. Buse, Joshua A. H. Walter
  • Publication number: 20120140060
    Abstract: An inspection apparatus and method, which can perform defect determination and estimate a defect on a mask and the resultant influence on a wafer. Each of the transfer images is reviewed in order of following (1) to (3): (1) when the degree of defect identified in the first comparing unit is at or exceeding a third threshold and an error ratio corresponding to the defect is at or exceeding a fourth threshold; (2) when the degree of a defect identified in the first comparing unit is less than the third threshold, and an error ratio corresponding to the defect is at or exceeding a fourth threshold; and (3) when the degree of a defect identified in the first comparing unit is at or exceeding a third threshold, and an error ratio corresponding to the defect is less than the fourth threshold.
    Type: Application
    Filed: November 30, 2011
    Publication date: June 7, 2012
    Applicant: NuFlare Technology, Inc.
    Inventors: Hideo TSUCHIYA, Takafumi Inoue, Nobutaka Kikuiri
  • Patent number: 8150140
    Abstract: A system and method is described for evaluating a wafer fabrication process for forming patterns on a wafer based upon data. Multiple inspection regions are defined on the wafer for analysis. For each inspection region, images of patterns within the inspection region are captured, edges are detected, and lines are registered to lines of a reference pattern automatically generated from the design data. Line widths are determined from the edges. Measured line widths are analyzed to provide statistics and feedback information regarding the fabrication process. In particular embodiments defects are identified as where measured line widths lie outside boundaries determined from the statistics. In particular embodiments, lines of different drawn width and/or orientation are grouped and analyzed separately. Measured line widths may also be grouped for analysis according to geometry such as shape or proximity to other shapes in the inspection region to provide feedback for optical proximity correction rules.
    Type: Grant
    Filed: March 16, 2010
    Date of Patent: April 3, 2012
    Assignee: NGR Inc.
    Inventors: Tadashi Kitamura, Akio Ishikawa
  • Patent number: 8126258
    Abstract: In a method of detecting defects in patterns and an apparatus for performing the method, a first image of a detection region on a semiconductor substrate may be acquired. A second image may be acquired from the first image by performing a Fourier transform and performing a low pass filtering. The second image may be compared with a reference image so that the defects of the detection region are detected. Existence of the defect of the second image is determined using a relation value between a grey level of each of pixels of the second image and the reference image, respectively. When a defect exists, the horizontal and the vertical positions of the pixel where the relation value is minimum are combined to determine the position of the defect.
    Type: Grant
    Filed: November 8, 2007
    Date of Patent: February 28, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yu-Sin Yang, Chung-Sam Jun, Jong-An Kim, Moon-Shik Kang, Ji-Hye Kim
  • Patent number: 8106946
    Abstract: A circuitry testing method, comprising: providing a circuit board needing testing; applying a potential (160) to the circuit board needing testing so that the circuit board works and operating elements of the circuit board needing testing emit infrared rays; testing an intensity of radiation of the infrared rays using an infrared sensor (110); converting the radiation intensity to RGB (red, green, blue) data signals in order to form a diagnostic infrared image, using a processor (130); providing a standard infrared image; comparing the diagnostic infrared image with the standard infrared image; and determining whether the circuit board is defective according to the comparison.
    Type: Grant
    Filed: June 25, 2007
    Date of Patent: January 31, 2012
    Assignee: Chimei Innolux Corporation
    Inventor: Shuo-Ting Yan
  • Patent number: 8094187
    Abstract: A method places a component at a desired position on a substrate by means of a placement device. The component is transported to an intermediate position above the desired position and a position difference between the intermediate position and the desired position is determined by means of a camera and a processor. Subsequently, the component is transported to the desired position on the substrate, making use of the position difference. The camera, which is arranged at the side of the component opposite the component's side facing the substrate, takes an image of at least the portion of the substrate that includes the desired position as well as the placement device.
    Type: Grant
    Filed: May 20, 2003
    Date of Patent: January 10, 2012
    Assignee: Assembleon N.V.
    Inventors: Henricus T. J. A. G. van der Sanden, Josephus M. M. van Gastel
  • Patent number: 8090188
    Abstract: A reticle pattern defect correcting apparatus comprises a lithographic emulation system including an optical emulation system and a micromachining defect correcting system including a reticle defect correcting mechanism with a cantilever. Since correction of a reticle pattern defect is carried out while the transferred image is being observed in real time by the optical emulation system, it is possible to achieve an efficient reticle pattern correction while avoiding overcorrection and other problems.
    Type: Grant
    Filed: July 25, 2007
    Date of Patent: January 3, 2012
    Assignee: Elpida Memory, Inc.
    Inventor: Masahito Hiroshima
  • Publication number: 20110317003
    Abstract: A method and an edge inspection system, the edge inspection system includes: an illumination unit; a support module for supporting an inspected object and for moving the inspected object in relation to the illumination unit; wherein the inspected object comprises a top surface, a bottom surface and at least one edge facet; an illumination unit that has an illumination axis, wherein the illumination unit is arranged to illuminate an inspected edge area of the inspected object by directing a light beam along the illumination axis; wherein the illumination axis is tilted in relation to a plane of the inspected object by a tilt angle that differs from ninety degrees; wherein the plane of the inspected object is defined by at least one of the top surface and the bottom surface; and a camera that has a collection axis, wherein the camera is arranged to detect light from the inspected edge area.
    Type: Application
    Filed: May 23, 2011
    Publication date: December 29, 2011
    Inventors: Roy PORAT, Yacov Malinovich
  • Patent number: 8081212
    Abstract: An abnormality detecting apparatus comprises a synchronous detecting circuit for detecting a synchronous signal from a video signal outputted from a camera unit, and an abnormality detecting circuit for judging whether or not a first direct current signal level obtained from an input path of said video signal is greater than a predetermined first threshold value, whether or not a second direct current signal level obtained from said input path of said video signal is smaller than a predetermined second threshold value, and whether or not said synchronous signal is detected by said synchronous detecting circuit, and determining that said input path of said video signal is in one of a normal state, an open state, a power connected state, and a ground connected state based on the result of the judgments.
    Type: Grant
    Filed: May 19, 2006
    Date of Patent: December 20, 2011
    Assignee: Panasonic Corporation
    Inventors: Kenji Yoshioka, Masaki Sato
  • Publication number: 20110261190
    Abstract: A review SEM is provided with a means to store sets of images acquired using multiple imaging conditions or sets of images for which multiple imaging conditions are simulated using simulation, a means to store defect position information for each set of images, and a means to store information relating to imaging conditions and process time. A means to estimate predicted capture rate and throughput with the individual imaging conditions for the sets of images from the stored information, and a means to display the results thereof are additionally provided.
    Type: Application
    Filed: September 28, 2009
    Publication date: October 27, 2011
    Inventors: Ryo Nakagaki, Minoru Harada, Kenji Obara
  • Patent number: 8045788
    Abstract: An inspection tool includes a camera for obtaining images of a wafer and a controller configured for performing light source flat field correction, optical image warping correction, and optical image scale correction of the images. In operation, separate inspection tools are calibrated separately to obtain a characteristic response with respect to imaging and/or illumination for each such inspection tool. A standard target is then imaged by each inspection tool and the response of each of the inspection tools is normalized to ensure uniformity of the output of each inspection tool with respect to the other inspection tools.
    Type: Grant
    Filed: July 14, 2004
    Date of Patent: October 25, 2011
    Assignee: August Technology Corp.
    Inventors: Cory Watkins, Patrick Simpkins
  • Publication number: 20110254945
    Abstract: An electronic device handling apparatus, which handles an electronic device under test having a first main surface provided thereon with first device terminals and a second main surface provided thereon with second device terminals, includes: a contact arm having a holding-side contact arm to which a first socket is attached and a suction pad which holds the electronic device under test; an alignment apparatus which positions the first socket and the electronic device under test; and the alignment apparatus which positions, with respect to a second socket, the electronic device under test being held by the suction pad and contacting the first socket, wherein the contact arm presses the second device terminals of the electronic device under test to the second socket.
    Type: Application
    Filed: April 8, 2011
    Publication date: October 20, 2011
    Applicant: ADVANTEST CORPORATION
    Inventors: Aritomo KIKUCHI, Hiroto NAKAMURA
  • Publication number: 20110242313
    Abstract: Contamination monitoring of high voltage insulators provides a system and method producing an early predictor for high voltage insulator failure, allowing repairmen to either already be on site when a high voltage insulator fails in order to expedite repair time, or allowing repair and/or replacement of a faulty insulator before the failure actually occurs. The system and method provide transmission of an alarm signal when contaminant levels (such as equivalent salt deposit density (ESDD) levels) formed on a high voltage insulator exceed pre-selected threshold values, indicating the likelihood of high voltage insulator failure.
    Type: Application
    Filed: April 5, 2010
    Publication date: October 6, 2011
    Inventors: Hussain N. Al-Duwaish, Zakariya M. Al-Hamouz, Wail A. Mousa, Munir A. Al-Absi, Salam A. Zummo
  • Publication number: 20110234790
    Abstract: A time-resolved photoluminescence technique is disclosed to image photovoltaic cells and wafers. The effective lifetime is measured directly using a photodetector that has a fast response. A pulsed light source flashes the wafer, generating excess carriers in the silicon. The rate of carrier recombination is monitored by imaging the photoluminescence decay over time. An effective lifetime can be extracted from the photoluminescence decay curve, which can be used to determine the quality of the photovoltaic cells and wafers.
    Type: Application
    Filed: March 29, 2011
    Publication date: September 29, 2011
    Inventor: Bruce TRUE
  • Patent number: 8026927
    Abstract: A display that includes at least one gray level being provided to a plurality of pixels that illuminates each of the pixels with the gray level. The display applies corrective data for the pixels so as to reduce the mura effects of said display for those characteristics generally visible by the human visual system and so as not to reduce the mura effects of the display for those characteristics generally not visible by the human visual system.
    Type: Grant
    Filed: March 29, 2007
    Date of Patent: September 27, 2011
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Scott J. Daly, Yasuo Ozawa
  • Publication number: 20110221886
    Abstract: In recent years, a wafer inspection time in semiconductor manufacturing processes is being required to be reduced for reduction in manufacturing time and for early detection of yield reduction factors. To meet this requirement, there is a need to reduce the time required for inspection parameter setup, as well as the time actually required for inspection. Based on the speed or position change information relating to a transport system 2, inspection is also conducted during acceleration/deceleration of the transport system 2 by controlling an accumulation time and/or operational speed of a detector or by correcting acquired images. Alternate display of review images of a detection region at fixed time intervals improves visibility of the detection region and makes it possible to confirm within a short time whether a defect is present.
    Type: Application
    Filed: July 8, 2009
    Publication date: September 15, 2011
    Inventors: Hidetoshi Nishiyama, Masaaki Ito, Sachio Uto, Kei Shimura
  • Publication number: 20110216186
    Abstract: A solder printing inspection apparatus for inspection of solder printed on a circuit board has a multiplicity of lands for mounting of electronic components. The apparatus includes an irradiation unit for irradiating a light on the circuit board, an imaging unit for imaging the circuit board irradiated by the light, a solder bridge detection unit for detecting a solder bridge connecting two of the lands based on an image data imaged by the imaging unit, a distance calculation unit for calculating a bridge distance as distance between two lands contacting the solder bridge or solder bridging regions or solder detection frames corresponding to the two lands contacting the solder bridge, and a distance determination unit for determination of whether or not the bridge distance is within a permissible range.
    Type: Application
    Filed: January 13, 2011
    Publication date: September 8, 2011
    Applicant: CKD CORPORATION
    Inventor: Takayuki Shinyama
  • Patent number: 8014587
    Abstract: Disclosed is a pattern test method in which a drawing region is divided into a plurality of deflection regions determined by the deflection width of a deflector of a charged beam lithography apparatus, the charged beam lithography apparatus draws a pattern in each divided deflection region on the basis of pattern design data to obtain a sample, and a defect of the pattern on the sample is tested. The method determines the coordinates of a connecting portion of the deflection regions, divides the pattern design data into boundary region pattern data as the connecting portion of the deflection regions and pattern data except for the boundary region pattern data, and obtains image data of the pattern formed on the sample. The method then compares the boundary region pattern data with the image data.
    Type: Grant
    Filed: August 27, 2007
    Date of Patent: September 6, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Yumi Watanabe
  • Patent number: 8005292
    Abstract: An apparatus for inspecting pattern defects, the apparatus including: an image acquisition unit which acquires an image of a specimen and stores the acquired image in an image memory; a defect candidate extraction unit which performs a defect candidate extraction process by using the acquired image, which is read from the image memory; and a defect detection unit which performs a defect detection process and a defect classification process based on a partial image containing a defect candidate that is extracted by the defect candidate extraction unit, wherein the processes performed by the defect detection unit is performed off-line asynchronously with an image acquisition process that is performed by the image acquisition unit.
    Type: Grant
    Filed: September 7, 2010
    Date of Patent: August 23, 2011
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Kaoru Sakai, Shunji Maeda, Takafumi Okabe
  • Publication number: 20110199480
    Abstract: An optical inspection system, the system includes: (i) an image sensor; and (ii) a single optical element, that at least partially surrounds an edge of an inspected object; wherein the optical element is adapted to direct light from different areas of the edge of the inspected object towards the image sensor so that the image sensor concurrently obtains images of the different areas.
    Type: Application
    Filed: July 1, 2010
    Publication date: August 18, 2011
    Applicant: Camtek LTD.
    Inventors: Michael LEV, Amir Gilead
  • Patent number: 7990413
    Abstract: Systems and methods are disclosed that facilitate permitting a user to select one of a plurality of job setups stored in a camera sensor. The plurality of job setups can be pre-programmed into the sensor utilizing conventional methods. During sensor operation, a user can select a particular application, and a simple signal can be generated for transmission over existing input/output lines to the camera sensor, upon receipt of which the camera sensor can initiate the particular job setup associated with the signal. Job setup signals can be formatted in binary utilizing HIGH and LOW voltages or can be transmitted as a simple Morse Code message and/or integer value.
    Type: Grant
    Filed: July 25, 2005
    Date of Patent: August 2, 2011
    Assignee: Rockwell Automation Technologies, Inc.
    Inventor: John M. Good
  • Publication number: 20110169944
    Abstract: A dark field inspection system that minimizes the speckle noise due to sample surface roughness can include a plurality of beam shaping paths for generating a composite, focused illumination line on a wafer. Each beam shaping path can illuminate the wafer at an oblique angle. The plurality of beam shaping paths can form a ring illumination. This ring illumination can reduce the speckle effect, thereby improving SNR. An objective lens can capture scattered light from the wafer and an imaging sensor can receive an output of the objective lens. Because the wafer illumination occurs at oblique angles, the objective lens can have a high NA, thereby improving optical resolution of the imaging sensor, and the resulting signal level.
    Type: Application
    Filed: July 16, 2010
    Publication date: July 14, 2011
    Inventors: Guoheng Zhao, Mehdi Vaez-Iravani, Scott Young, Kris Bhaskar
  • Publication number: 20110164130
    Abstract: One embodiment relates to a method of automatically inspecting multiple array regions (102) simultaneously using an imaging apparatus (302). The method includes selecting (211 or 212) an optimal pixel size such that each array region in the multiple array regions has a grouped cell which is an integer number of pixels in size, and adjusting a pixel size of the imaging apparatus to be the selected optimal pixel size. Optimal pixel sizes within an available range of pixel sizes may be determined by finding (202) a largest common divider of cell sizes of the multiple array regions when the cell sizes are expressed in integers. Pre-set criteria may be applied to determine (208) which, if any, of the optimal pixel sizes are acceptable based on pre-set criteria. If none of the optimal pixel sizes are acceptable, then one of the array regions may be marked for digital interpolation (see 216). Other embodiments, aspects, and features are also disclosed.
    Type: Application
    Filed: June 18, 2010
    Publication date: July 7, 2011
    Inventors: Hong Chen, Jason Z. Lin
  • Patent number: 7969465
    Abstract: The invention provides a substrate surface imaging method and apparatus that compensates for non-linear movement of the substrate surface during an imaging sequence. In one aspect of the invention, the imaging method and apparatus compensate for the non-linear substrate surface movement by adjusting the image receiver trigger points to correspond to image positions on the substrate surface. In another aspect, the invention provides synchronous imaging where the distance between each image position is determined by counting the number of stepper motor steps between image positions. In still another aspect, the invention provides for asynchronous substrate imaging by determining an image trigger time between each image position and using the image trigger time to trigger the receiver at the appropriate time to accurately image the substrate surface.
    Type: Grant
    Filed: December 19, 2006
    Date of Patent: June 28, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Don T. Batson, Reginald Hunter
  • Patent number: 7970200
    Abstract: A color image of an inspection object is taken by an imaging means capable of taking a color image to obtain color information of an RGB color space. A gray-scale image of a color component of the RGB color space or another color space is generated, and the inspection object is detected by a pattern recognition technique. Alternatively, a binary image is generated from the generated gray-scale image, and the inspection object is detected by performing pattern recognition on the binary image. Color data of a pixel occupied by the detected inspection object is compared with color data of a non-defective inspection object which is previously prepared to judge whether or not the inspection object is defective. In addition, this judgment result is reflected in another manufacturing step through a network and product quality is improved.
    Type: Grant
    Filed: June 25, 2010
    Date of Patent: June 28, 2011
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Teppei Oguni, Tatsuji Nishijima, Akiharu Miyanaga
  • Patent number: 7957579
    Abstract: An apparatus for processing a defect candidate image, including: a scanning electron microscope for taking an enlarged image of a specimen by irradiating and scanning a converged electron beam onto the specimen and detecting charged particles emanated from the specimen by the irradiation; an image processor for processing the image taken by the scanning electron microscope to detect defect candidates on the specimen and classify the detected defect candidates into one of plural classes; a memory for storing output from the image processor including images of the detected defect candidates; and a display unit which displays information stored in the memory and an indicator, wherein the display unit displays a distribution of the detected and classified defect candidates in a map format by distinguishing by the classified class, and the display unit also displays an image of a defect candidate stored in the memory together with the map which is indicated on the map by the indicator.
    Type: Grant
    Filed: October 31, 2007
    Date of Patent: June 7, 2011
    Assignee: Hitachi, Ltd.
    Inventors: Takashi Hiroi, Masahiro Watanabe, Chie Shishido, Aritoshi Sugimoto, Maki Tanaka, Hiroshi Miyai, Asahiro Kuni, Yasuhiko Nara
  • Publication number: 20110128371
    Abstract: Semiconductor wafer inspection device comprising a wager transport arm provided with at least one wafer support element, a wafer gripper, the gripper having two distant branches designed to take hold of the opposed edges of the wafer, the gripper being mounted so as to rotate on a shaft in order to be able to rotate the wafer between an approximately horizontal position and an approximately vertical position, and at least two inspection systems placed on one side of the wafer and on the other, in an approximately vertical position symmetrically with respect to the plane passing through the wafer.
    Type: Application
    Filed: May 11, 2009
    Publication date: June 2, 2011
    Inventors: Philippe Gastaldo, François Berger, Cleonisse Serrecchia
  • Patent number: 7945085
    Abstract: A wafer viewer system is provided for graphical presentation and analysis of a wafer and a wafer series. More specifically, the wafer viewer system includes a graphical user interface for displaying a wafer, graphically selecting regions of the wafer for analysis, performing analysis on the selected regions of the wafer, and displaying results of the analysis.
    Type: Grant
    Filed: May 3, 2010
    Date of Patent: May 17, 2011
    Assignee: Lam Research Corporation
    Inventor: Jorge Luque
  • Publication number: 20110109738
    Abstract: An observation device (1) for observing a portion near the end of a wafer (10), comprising an imaging section (40) for imaging an image near the end of a wafer (10) from the extending direction of the wafer (10), and an image processing section (50) for detecting the edge of a film formed on the surface of the wafer (10) is further provided, as an illumination section for illuminating a portion near the end of a wafer (10), with an epi-illumination source (48) for illuminating a portion near the end of a wafer (10) via an observation optical system (41), and a diffusion illumination source (31) arranged to face the surface of the wafer (10) and illuminate a portion near the end of a wafer (10) using diffused light.
    Type: Application
    Filed: October 29, 2010
    Publication date: May 12, 2011
    Inventors: Naoshi Sakaguchi, Takashi Watanabe, Masashi Takahashi, Hiroaki Okamoto
  • Patent number: 7933452
    Abstract: A visual image retrieval system is provided. The system includes an image database for storing images. The system also includes a preprocessor communicatively linked to the image database for segmenting the images and generating based upon segmented images a region-of-interest (ROI) extraction output. Additionally, the system includes an ROI feature extraction module for computing ROI feature vectors based upon the output, and a global feature extraction module for computing global feature vectors based upon the output. The system further includes an ROI feature vectors database for storing the ROI feature vectors, and a global feature vectors database for storing the global feature vectors. The system also includes a perceptually-relevant image search machine (PRISM) interface for displaying query images and retrieved images, the retrieved images being retrieved in response to a user selecting at least one displayed query image.
    Type: Grant
    Filed: December 11, 2007
    Date of Patent: April 26, 2011
    Assignee: Florida Atlantic University
    Inventors: Oge Marques, Liam Mayron